| Parent directory/ | - | - |
| zycore-doc-1.5.0-r1.apk | 432.6 KiB | 2025-Jul-16 06:03 |
| zycore-dev-1.5.0-r1.apk | 37.4 KiB | 2025-Jul-16 06:03 |
| zycore-1.5.0-r1.apk | 20.9 KiB | 2025-Jul-16 06:03 |
| zvbi-doc-0.2.44-r0.apk | 21.0 KiB | 2025-Mar-12 05:33 |
| zvbi-0.2.44-r0.apk | 187.7 KiB | 2025-Mar-12 05:33 |
| zutty-doc-0.16-r0.apk | 66.6 KiB | 2025-Jan-13 06:02 |
| zutty-0.16-r0.apk | 158.9 KiB | 2025-Jan-13 06:02 |
| zsh-manydots-magic-0_git20230607-r1.apk | 2.8 KiB | 2024-Oct-26 05:53 |
| zrepl-zsh-completion-0.6.1-r16.apk | 2.0 KiB | 2025-Dec-06 01:44 |
| zrepl-openrc-0.6.1-r16.apk | 1.7 KiB | 2025-Dec-06 01:44 |
| zrepl-bash-completion-0.6.1-r16.apk | 4.5 KiB | 2025-Dec-06 01:44 |
| zrepl-0.6.1-r16.apk | 6.6 MiB | 2025-Dec-06 01:44 |
| zpaq-doc-7.15-r0.apk | 16.3 KiB | 2025-Oct-09 13:43 |
| zpaq-7.15-r0.apk | 178.9 KiB | 2025-Oct-09 13:43 |
| zot-openrc-2.1.8-r2.apk | 2.0 KiB | 2025-Dec-06 01:44 |
| zot-exporter-2.1.8-r2.apk | 3.9 MiB | 2025-Dec-06 01:44 |
| zot-doc-2.1.8-r2.apk | 9.4 KiB | 2025-Dec-06 01:44 |
| zot-cli-zsh-completion-2.1.8-r2.apk | 4.0 KiB | 2025-Dec-06 01:44 |
| zot-cli-fish-completion-2.1.8-r2.apk | 4.3 KiB | 2025-Dec-06 01:44 |
| zot-cli-bash-completion-2.1.8-r2.apk | 6.1 KiB | 2025-Dec-06 01:44 |
| zot-cli-2.1.8-r2.apk | 10.0 MiB | 2025-Dec-06 01:44 |
| zot-2.1.8-r2.apk | 73.3 MiB | 2025-Dec-06 01:44 |
| zita-resampler-doc-1.11.2-r0.apk | 4.0 KiB | 2025-Apr-15 06:16 |
| zita-resampler-dev-1.11.2-r0.apk | 3.3 KiB | 2025-Apr-15 06:16 |
| zita-resampler-1.11.2-r0.apk | 25.3 KiB | 2025-Apr-15 06:16 |
| zita-njbridge-doc-0.4.8-r1.apk | 5.2 KiB | 2024-Oct-26 05:53 |
| zita-njbridge-0.4.8-r1.apk | 32.3 KiB | 2024-Oct-26 05:53 |
| zile-doc-2.6.2-r1.apk | 15.8 KiB | 2024-Oct-26 05:53 |
| zile-2.6.2-r1.apk | 119.9 KiB | 2024-Oct-26 05:53 |
| zfsbootmenu-doc-2.3.0-r1.apk | 15.8 KiB | 2024-Oct-26 05:53 |
| zfsbootmenu-2.3.0-r1.apk | 128.2 KiB | 2024-Oct-26 05:53 |
| zfs-src-2.4.0-r0.apk | 33.0 MiB | 2025-Dec-19 17:50 |
| zarchive-libs-0.1.2-r2.apk | 25.5 KiB | 2024-Oct-26 05:53 |
| zarchive-dev-0.1.2-r2.apk | 6.7 KiB | 2024-Oct-26 05:53 |
| zarchive-0.1.2-r2.apk | 15.3 KiB | 2024-Oct-26 05:53 |
| zapzap-pyc-6.0.1.8-r0.apk | 125.9 KiB | 2025-Apr-14 09:56 |
| zapzap-6.0.1.8-r0.apk | 108.8 KiB | 2025-Apr-14 09:56 |
| zafiro-icon-theme-1.3-r0.apk | 19.2 MiB | 2024-Oct-26 05:53 |
| z-doc-1.12-r0.apk | 3.9 KiB | 2024-Oct-26 05:53 |
| z-1.12-r0.apk | 4.6 KiB | 2024-Oct-26 05:53 |
| yubikey-agent-0.1.6-r17.apk | 1.9 MiB | 2025-Dec-06 01:44 |
| ytt-0.52.1-r2.apk | 4.5 MiB | 2025-Dec-06 01:44 |
| ytmdl-zsh-completion-2024.08.15.1-r1.apk | 2.1 KiB | 2025-May-15 03:14 |
| ytmdl-pyc-2024.08.15.1-r1.apk | 78.1 KiB | 2025-May-15 03:14 |
| ytmdl-bash-completion-2024.08.15.1-r1.apk | 2.3 KiB | 2025-May-15 03:14 |
| ytmdl-2024.08.15.1-r1.apk | 50.2 KiB | 2025-May-15 03:14 |
| youtube-viewer-gtk-3.11.6-r0.apk | 171.6 KiB | 2025-Jun-09 14:05 |
| youtube-viewer-doc-3.11.6-r0.apk | 40.6 KiB | 2025-Jun-09 14:05 |
| youtube-viewer-3.11.6-r0.apk | 83.8 KiB | 2025-Jun-09 14:05 |
| youki-dbg-0.4.1-r0.apk | 4.6 KiB | 2024-Oct-26 05:53 |
| youki-0.4.1-r0.apk | 1.6 MiB | 2024-Oct-26 05:53 |
| yosys-dev-0.57-r0.apk | 277.3 KiB | 2025-Oct-25 07:03 |
| yosys-0.57-r0.apk | 23.6 MiB | 2025-Oct-25 07:03 |
| yoshimi-doc-2.3.3.3-r0.apk | 4.5 MiB | 2025-Mar-14 07:33 |
| yoshimi-2.3.3.3-r0.apk | 6.0 MiB | 2025-Mar-14 07:33 |
| yices2-libs-2.6.5-r0.apk | 1006.5 KiB | 2025-Mar-03 08:07 |
| yices2-dev-2.6.5-r0.apk | 41.4 KiB | 2025-Mar-03 08:07 |
| yices2-2.6.5-r0.apk | 2.7 MiB | 2025-Mar-03 08:07 |
| ydcv-zsh-completion-0.7-r8.apk | 2.2 KiB | 2024-Oct-26 05:53 |
| ydcv-pyc-0.7-r8.apk | 10.6 KiB | 2024-Oct-26 05:53 |
| ydcv-0.7-r8.apk | 20.0 KiB | 2024-Oct-26 05:53 |
| yass-2.5.0-r0.apk | 14.1 MiB | 2025-Feb-12 23:31 |
| yaru-theme-viridian-25.10.1-r0.apk | 760.3 KiB | 2025-Jul-16 06:03 |
| yaru-theme-sage-25.10.1-r0.apk | 763.2 KiB | 2025-Jul-16 06:03 |
| yaru-theme-red-25.10.1-r0.apk | 759.9 KiB | 2025-Jul-16 06:03 |
| yaru-theme-purple-25.10.1-r0.apk | 756.9 KiB | 2025-Jul-16 06:03 |
| yaru-theme-prussiangreen-25.10.1-r0.apk | 759.7 KiB | 2025-Jul-16 06:03 |
| yaru-theme-olive-25.10.1-r0.apk | 759.2 KiB | 2025-Jul-16 06:03 |
| yaru-theme-mate-25.10.1-r0.apk | 772.0 KiB | 2025-Jul-16 06:03 |
| yaru-theme-magenta-25.10.1-r0.apk | 761.9 KiB | 2025-Jul-16 06:03 |
| yaru-theme-hdpi-25.10.1-r0.apk | 73.3 KiB | 2025-Jul-16 06:03 |
| yaru-theme-blue-25.10.1-r0.apk | 766.1 KiB | 2025-Jul-16 06:03 |
| yaru-theme-bark-25.10.1-r0.apk | 762.8 KiB | 2025-Jul-16 06:03 |
| yaru-theme-25.10.1-r0.apk | 840.4 KiB | 2025-Jul-16 06:03 |
| yaru-sounds-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 06:03 |
| yaru-shell-25.10.1-r0.apk | 226.8 KiB | 2025-Jul-16 06:03 |
| yaru-schemas-25.10.1-r0.apk | 1.9 KiB | 2025-Jul-16 06:03 |
| yaru-icon-theme-viridian-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 06:03 |
| yaru-icon-theme-sage-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 06:03 |
| yaru-icon-theme-red-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 06:03 |
| yaru-icon-theme-purple-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 06:03 |
| yaru-icon-theme-prussiangreen-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 06:03 |
| yaru-icon-theme-olive-25.10.1-r0.apk | 1.1 MiB | 2025-Jul-16 06:03 |
| yaru-icon-theme-mate-25.10.1-r0.apk | 1.3 MiB | 2025-Jul-16 06:03 |
| yaru-icon-theme-magenta-25.10.1-r0.apk | 1.1 MiB | 2025-Jul-16 06:03 |
| yaru-icon-theme-blue-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-16 06:03 |
| yaru-icon-theme-bark-25.10.1-r0.apk | 1.1 MiB | 2025-Jul-16 06:03 |
| yaru-icon-theme-25.10.1-r0.apk | 35.3 MiB | 2025-Jul-16 06:03 |
| yaru-common-25.10.1-r0.apk | 3.8 MiB | 2025-Jul-16 06:03 |
| yarn-berry-4.9.1-r0.apk | 1.0 MiB | 2025-Apr-16 06:58 |
| yamldiff-doc-0.3.0-r3.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| yamldiff-0.3.0-r3.apk | 1.6 MiB | 2025-Dec-06 01:44 |
| yaml-language-server-doc-1.19.2-r0.apk | 2.3 KiB | 2025-Oct-09 13:10 |
| yaml-language-server-1.19.2-r0.apk | 666.0 KiB | 2025-Oct-09 13:10 |
| yamkix-pyc-0.14.0-r0.apk | 20.2 KiB | 2025-Dec-23 04:56 |
| yamkix-0.14.0-r0.apk | 17.3 KiB | 2025-Dec-23 04:56 |
| yaegi-0.16.1-r13.apk | 7.1 MiB | 2025-Dec-06 01:44 |
| xwayland-satellite-0.7-r0.apk | 945.3 KiB | 2025-Aug-31 07:14 |
| xvile-9.8z_p1-r2.apk | 771.4 KiB | 2025-Oct-06 20:58 |
| xvidtune-doc-1.0.4-r0.apk | 4.1 KiB | 2024-Oct-26 05:53 |
| xvidtune-1.0.4-r0.apk | 16.4 KiB | 2024-Oct-26 05:53 |
| xva-img-1.5-r0.apk | 17.1 KiB | 2024-Oct-26 05:53 |
| xtl-0.8.1-r0.apk | 89.7 KiB | 2025-Oct-27 22:42 |
| xtensor-0.27.0-r0.apk | 269.7 KiB | 2025-Aug-25 15:42 |
| xsoldier-doc-1.8-r2.apk | 2.6 KiB | 2024-Oct-26 05:53 |
| xsoldier-1.8-r2.apk | 68.1 KiB | 2024-Oct-26 05:53 |
| xsecurelock-doc-1.9.0-r1.apk | 17.7 KiB | 2024-Oct-26 05:53 |
| xsecurelock-1.9.0-r1.apk | 67.3 KiB | 2024-Oct-26 05:53 |
| xsane-lang-0.999-r2.apk | 440.3 KiB | 2024-Oct-26 05:53 |
| xsane-doc-0.999-r2.apk | 4.3 KiB | 2024-Oct-26 05:53 |
| xsane-0.999-r2.apk | 1.5 MiB | 2024-Oct-26 05:53 |
| xpar-doc-0.7-r0.apk | 4.3 KiB | 2025-Sep-27 23:33 |
| xpar-0.7-r0.apk | 27.0 KiB | 2025-Sep-27 23:33 |
| xosview-doc-1.24-r0.apk | 12.5 KiB | 2024-Oct-26 05:53 |
| xosview-1.24-r0.apk | 120.7 KiB | 2024-Oct-26 05:53 |
| xonsh-pyc-0.19.9-r0.apk | 1.0 MiB | 2025-Oct-31 21:17 |
| xonsh-0.19.9-r0.apk | 588.9 KiB | 2025-Oct-31 21:17 |
| xone-src-0.5.1-r0.apk | 57.6 KiB | 2025-Dec-23 01:40 |
| xmppipe-0.16.0-r1.apk | 16.2 KiB | 2024-Oct-26 05:53 |
| xmpp-dns-0.2.4-r29.apk | 1.9 MiB | 2025-Dec-06 01:44 |
| xmp-doc-4.2.0-r0.apk | 5.3 KiB | 2024-Oct-26 05:53 |
| xmp-4.2.0-r0.apk | 22.7 KiB | 2024-Oct-26 05:53 |
| xmoto-lang-0.6.3-r0.apk | 532.1 KiB | 2025-Oct-04 02:02 |
| xmoto-doc-0.6.3-r0.apk | 5.9 KiB | 2025-Oct-04 02:02 |
| xmoto-data-0.6.3-r0.apk | 36.7 MiB | 2025-Oct-04 02:02 |
| xmoto-0.6.3-r0.apk | 1.9 MiB | 2025-Oct-04 02:02 |
| xml2rfc-pyc-3.28.1-r3.apk | 407.5 KiB | 2025-Dec-18 20:11 |
| xml2rfc-3.28.1-r3.apk | 351.9 KiB | 2025-Dec-18 20:11 |
| xmag-doc-1.0.8-r0.apk | 4.7 KiB | 2024-Oct-26 05:53 |
| xmag-1.0.8-r0.apk | 17.1 KiB | 2024-Oct-26 05:53 |
| xload-doc-1.1.4-r0.apk | 3.4 KiB | 2024-Oct-26 05:53 |
| xload-1.1.4-r0.apk | 6.7 KiB | 2024-Oct-26 05:53 |
| xlhtml-doc-0.5.1-r0.apk | 2.5 KiB | 2025-Jul-28 23:07 |
| xlhtml-0.5.1-r0.apk | 11.6 KiB | 2025-Jul-28 23:07 |
| xkb-switch-doc-1.8.5-r1.apk | 2.1 KiB | 2025-May-15 03:14 |
| xkb-switch-1.8.5-r1.apk | 19.9 KiB | 2025-May-15 03:14 |
| xisxwayland-doc-2-r1.apk | 2.0 KiB | 2024-Oct-26 05:53 |
| xisxwayland-2-r1.apk | 3.9 KiB | 2024-Oct-26 05:53 |
| xiccd-doc-0.3.0_git20211219-r1.apk | 3.5 KiB | 2024-Oct-26 05:53 |
| xiccd-0.3.0_git20211219-r1.apk | 15.5 KiB | 2024-Oct-26 05:53 |
| xgalaga-doc-2.1.1.0-r1.apk | 2.5 KiB | 2024-Oct-26 05:53 |
| xgalaga-2.1.1.0-r1.apk | 307.6 KiB | 2024-Oct-26 05:53 |
| xfsdump-doc-3.2.0-r0.apk | 42.4 KiB | 2025-Aug-31 05:48 |
| xfsdump-3.2.0-r0.apk | 392.2 KiB | 2025-Aug-31 05:48 |
| xfd-doc-1.1.4-r0.apk | 4.9 KiB | 2024-Oct-26 05:53 |
| xfd-1.1.4-r0.apk | 13.1 KiB | 2024-Oct-26 05:53 |
| xfce4-panel-profiles-lang-1.1.1-r1.apk | 53.5 KiB | 2025-Nov-24 21:53 |
| xfce4-panel-profiles-doc-1.1.1-r1.apk | 19.9 KiB | 2025-Nov-24 21:53 |
| xfce4-panel-profiles-1.1.1-r1.apk | 57.6 KiB | 2025-Nov-24 21:53 |
| xfce4-mixer-lang-4.18.1-r2.apk | 58.7 KiB | 2024-Oct-26 05:53 |
| xfce4-mixer-doc-4.18.1-r2.apk | 2.5 KiB | 2024-Oct-26 05:53 |
| xfce4-mixer-4.18.1-r2.apk | 86.2 KiB | 2024-Oct-26 05:53 |
| xfce4-hamster-plugin-lang-1.17-r0.apk | 5.3 KiB | 2024-Oct-26 05:53 |
| xfce4-hamster-plugin-1.17-r0.apk | 35.0 KiB | 2024-Oct-26 05:53 |
| xendmail-doc-0.4.4-r0.apk | 2.5 KiB | 2025-Dec-09 20:05 |
| xendmail-0.4.4-r0.apk | 902.4 KiB | 2025-Dec-09 20:05 |
| xemu-0.8.74-r0.apk | 4.3 MiB | 2025-Jun-19 09:28 |
| xed-python-3.8.4-r0.apk | 24.5 KiB | 2025-Oct-23 16:55 |
| xed-lang-3.8.4-r0.apk | 2.1 MiB | 2025-Oct-23 16:55 |
| xed-doc-3.8.4-r0.apk | 970.9 KiB | 2025-Oct-23 16:55 |
| xed-dev-3.8.4-r0.apk | 13.7 KiB | 2025-Oct-23 16:55 |
| xed-3.8.4-r0.apk | 1.1 MiB | 2025-Oct-23 16:55 |
| xdg-ninja-0.2.0.2-r0.apk | 70.4 KiB | 2024-Oct-26 05:53 |
| xdg-native-messaging-proxy-systemd-0.1.0-r0.apk | 1.8 KiB | 2025-Nov-27 23:19 |
| xdg-native-messaging-proxy-0.1.0-r0.apk | 21.9 KiB | 2025-Nov-27 23:19 |
| xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk | 2.4 KiB | 2025-Dec-14 20:00 |
| xdg-desktop-portal-hyprland-1.3.11-r0.apk | 327.9 KiB | 2025-Dec-14 20:00 |
| xcompmgr-doc-1.1.10-r0.apk | 2.6 KiB | 2025-Jun-28 20:25 |
| xcompmgr-1.1.10-r0.apk | 14.7 KiB | 2025-Jun-28 20:25 |
| xcape-doc-1.2-r1.apk | 3.1 KiB | 2025-May-15 03:14 |
| xcape-1.2-r1.apk | 6.9 KiB | 2025-May-15 03:14 |
| xa-doc-2.4.1-r0.apk | 17.2 KiB | 2025-Feb-25 21:36 |
| xa-2.4.1-r0.apk | 81.8 KiB | 2025-Feb-25 21:36 |
| x11docker-doc-7.6.0-r1.apk | 9.4 KiB | 2024-Oct-26 05:53 |
| x11docker-7.6.0-r1.apk | 113.4 KiB | 2024-Oct-26 05:53 |
| wtfutil-0.43.0-r18.apk | 18.6 MiB | 2025-Dec-06 01:44 |
| wsmancli-doc-2.8.0-r0.apk | 3.7 KiB | 2025-Jul-16 06:03 |
| wsmancli-2.8.0-r0.apk | 19.6 KiB | 2025-Jul-16 06:03 |
| wshowkeys-1.0-r0.apk | 14.1 KiB | 2024-Oct-26 05:52 |
| wput-doc-0.6.2-r4.apk | 8.2 KiB | 2024-Oct-26 05:52 |
| wput-0.6.2-r4.apk | 38.8 KiB | 2024-Oct-26 05:52 |
| wpa_actiond-openrc-1.4-r7.apk | 2.2 KiB | 2024-Oct-26 05:52 |
| wpa_actiond-1.4-r7.apk | 9.7 KiB | 2024-Oct-26 05:52 |
| wolfssh-dev-1.4.17-r1.apk | 273.2 KiB | 2025-Sep-21 23:09 |
| wolfssh-1.4.17-r1.apk | 137.2 KiB | 2025-Sep-21 23:09 |
| wol-lang-0.7.1-r3.apk | 8.2 KiB | 2024-Oct-26 05:52 |
| wol-doc-0.7.1-r3.apk | 5.5 KiB | 2024-Oct-26 05:52 |
| wol-0.7.1-r3.apk | 26.1 KiB | 2024-Oct-26 05:52 |
| wok-pyc-3.0.0-r6.apk | 129.6 KiB | 2024-Oct-26 05:52 |
| wok-lang-3.0.0-r6.apk | 16.0 KiB | 2024-Oct-26 05:52 |
| wok-doc-3.0.0-r6.apk | 3.6 KiB | 2024-Oct-26 05:52 |
| wok-3.0.0-r6.apk | 156.6 KiB | 2024-Oct-26 05:52 |
| wmi-client-1.3.16-r5.apk | 2.5 MiB | 2024-Oct-26 05:52 |
| wmctrl-doc-1.07-r1.apk | 5.3 KiB | 2024-Oct-26 05:52 |
| wmctrl-1.07-r1.apk | 13.5 KiB | 2024-Oct-26 05:52 |
| wlroots0.18-static-0.18.3-r0.apk | 8.8 MiB | 2025-Nov-29 08:56 |
| wlroots0.18-dev-0.18.3-r0.apk | 82.6 KiB | 2025-Nov-29 08:56 |
| wlroots0.18-dbg-0.18.3-r0.apk | 1.5 MiB | 2025-Nov-29 08:56 |
| wlroots0.18-0.18.3-r0.apk | 383.1 KiB | 2025-Nov-29 08:56 |
| wlroots0.17-dev-0.17.4-r3.apk | 77.4 KiB | 2025-Aug-13 07:11 |
| wlroots0.17-dbg-0.17.4-r3.apk | 1.5 MiB | 2025-Aug-13 07:11 |
| wlroots0.17-0.17.4-r3.apk | 371.1 KiB | 2025-Aug-13 07:11 |
| wlr-sunclock-1.2.1-r0.apk | 44.5 KiB | 2025-Dec-01 23:08 |
| wlclock-doc-1.0.1-r0.apk | 3.5 KiB | 2024-Oct-26 05:52 |
| wlclock-1.0.1-r0.apk | 16.4 KiB | 2024-Oct-26 05:52 |
| wlavu-0_git20201101-r1.apk | 12.1 KiB | 2024-Oct-26 05:52 |
| wl-gammarelay-0.1.3-r4.apk | 1.6 MiB | 2025-Dec-06 01:44 |
| wl-clipboard-x11-doc-5-r3.apk | 2.9 KiB | 2024-Oct-26 05:52 |
| wl-clipboard-x11-5-r3.apk | 3.4 KiB | 2024-Oct-26 05:52 |
| wl-clip-persist-0.5.0-r0.apk | 915.9 KiB | 2025-Oct-26 21:30 |
| witchery-0.0.3-r2.apk | 3.2 KiB | 2024-Oct-26 05:52 |
| wiremix-doc-0.7.0-r0.apk | 9.4 KiB | 2025-Aug-22 21:03 |
| wiremix-0.7.0-r0.apk | 780.1 KiB | 2025-Aug-22 21:03 |
| wiremapper-0.10.0-r0.apk | 21.9 KiB | 2024-Oct-26 05:52 |
| wiki-tui-doc-0.9.1-r0.apk | 4.5 KiB | 2025-Nov-03 04:41 |
| wiki-tui-0.9.1-r0.apk | 2.1 MiB | 2025-Nov-03 04:41 |
| whipper-pyc-0.10.0-r5.apk | 184.8 KiB | 2024-Oct-26 05:52 |
| whipper-0.10.0-r5.apk | 113.0 KiB | 2024-Oct-26 05:52 |
| wgcf-zsh-completion-2.2.29-r1.apk | 4.0 KiB | 2025-Dec-06 01:44 |
| wgcf-fish-completion-2.2.29-r1.apk | 4.3 KiB | 2025-Dec-06 01:44 |
| wgcf-bash-completion-2.2.29-r1.apk | 6.1 KiB | 2025-Dec-06 01:44 |
| wgcf-2.2.29-r1.apk | 4.5 MiB | 2025-Dec-06 01:44 |
| wf-shell-doc-0.9.0-r0.apk | 3.1 KiB | 2025-Feb-28 05:16 |
| wf-shell-dev-0.9.0-r0.apk | 1.7 KiB | 2025-Feb-28 05:16 |
| wf-shell-0.9.0-r0.apk | 6.1 MiB | 2025-Feb-28 05:16 |
| wf-config-dev-0.9.0-r0.apk | 16.3 KiB | 2025-Feb-28 05:16 |
| wf-config-0.9.0-r0.apk | 108.0 KiB | 2025-Feb-28 05:16 |
| welle-io-doc-2.7-r0.apk | 4.0 KiB | 2025-Apr-08 04:13 |
| welle-io-2.7-r0.apk | 401.2 KiB | 2025-Apr-08 04:13 |
| welle-cli-2.7-r0.apk | 306.4 KiB | 2025-Apr-08 04:13 |
| webtunnel-0.0.2-r6.apk | 3.6 MiB | 2025-Dec-06 01:44 |
| webhookd-openrc-1.20.2-r5.apk | 2.2 KiB | 2025-Dec-06 01:44 |
| webhookd-doc-1.20.2-r5.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| webhookd-1.20.2-r5.apk | 3.2 MiB | 2025-Dec-06 01:44 |
| wcm-0.9.0-r0.apk | 372.6 KiB | 2025-Feb-28 05:16 |
| wch-isp-udev-rules-0.4.1-r2.apk | 1.6 KiB | 2024-Oct-26 05:52 |
| wch-isp-doc-0.4.1-r2.apk | 2.7 KiB | 2024-Oct-26 05:52 |
| wch-isp-0.4.1-r2.apk | 10.6 KiB | 2024-Oct-26 05:52 |
| wbg-1.3.0-r1.apk | 40.4 KiB | 2025-Nov-01 22:47 |
| wayqt-dev-0.3.0-r1.apk | 18.4 KiB | 2025-Aug-21 17:01 |
| wayqt-0.3.0-r1.apk | 137.4 KiB | 2025-Aug-21 17:01 |
| waynergy-0.0.17-r1.apk | 52.1 KiB | 2025-Sep-13 07:23 |
| wayfire-plugins-extra-0.9.0-r0.apk | 580.2 KiB | 2025-Feb-28 05:16 |
| wayfire-doc-0.9.0-r0.apk | 3.6 KiB | 2025-Feb-28 05:16 |
| wayfire-dev-0.9.0-r0.apk | 135.8 KiB | 2025-Feb-28 05:16 |
| wayfire-0.9.0-r0.apk | 2.6 MiB | 2025-Feb-28 05:16 |
| wayfarer-1.4.0-r0.apk | 64.6 KiB | 2025-Nov-06 03:52 |
| way-displays-doc-1.15.0-r0.apk | 4.5 KiB | 2025-Sep-30 18:47 |
| way-displays-1.15.0-r0.apk | 112.2 KiB | 2025-Sep-30 18:47 |
| watchdog-doc-5.16-r2.apk | 14.2 KiB | 2024-Oct-26 05:52 |
| watchdog-5.16-r2.apk | 43.5 KiB | 2024-Oct-26 05:52 |
| watchbind-doc-0.2.1-r1.apk | 6.6 KiB | 2024-Oct-26 05:52 |
| watchbind-0.2.1-r1.apk | 1.1 MiB | 2024-Oct-26 05:52 |
| wasmtime-dev-39.0.1-r0.apk | 114.3 KiB | 2025-Dec-09 06:16 |
| wasmtime-39.0.1-r0.apk | 8.3 MiB | 2025-Dec-09 06:16 |
| warpinator-nemo-2.0.0-r0.apk | 4.3 KiB | 2025-Nov-26 04:15 |
| warpinator-lang-2.0.0-r0.apk | 231.7 KiB | 2025-Nov-26 04:15 |
| warpinator-2.0.0-r0.apk | 220.5 KiB | 2025-Nov-26 04:15 |
| warp-s3-1.3.1-r1.apk | 8.0 MiB | 2025-Dec-06 01:44 |
| walk-sor-doc-0_git20190920-r1.apk | 7.8 KiB | 2024-Oct-26 05:52 |
| walk-sor-0_git20190920-r1.apk | 5.4 KiB | 2024-Oct-26 05:52 |
| walk-doc-1.13.0-r8.apk | 2.2 KiB | 2025-Dec-06 01:44 |
| walk-1.13.0-r8.apk | 3.0 MiB | 2025-Dec-06 01:44 |
| wakeonlan-doc-0.42-r0.apk | 7.5 KiB | 2024-Oct-26 05:52 |
| wakeonlan-0.42-r0.apk | 4.5 KiB | 2024-Oct-26 05:52 |
| waifu2x-converter-cpp-5.3.4-r9.apk | 11.6 MiB | 2025-Jul-07 16:56 |
| wabt-doc-1.0.37-r0.apk | 13.4 KiB | 2025-Apr-07 06:42 |
| wabt-1.0.37-r0.apk | 4.8 MiB | 2025-Apr-07 06:42 |
| w_scan2-doc-1.0.17-r0.apk | 4.2 KiB | 2025-Jun-12 02:54 |
| w_scan2-1.0.17-r0.apk | 134.4 KiB | 2025-Jun-12 02:54 |
| vym-doc-2.9.26-r0.apk | 3.4 MiB | 2024-Oct-26 05:52 |
| vym-2.9.26-r0.apk | 2.8 MiB | 2024-Oct-26 05:52 |
| volumeicon-lang-0.5.1-r1.apk | 3.7 KiB | 2024-Oct-26 05:52 |
| volumeicon-0.5.1-r1.apk | 40.6 KiB | 2024-Oct-26 05:52 |
| volatility3-pyc-2.26.2-r0.apk | 1.3 MiB | 2025-Nov-20 21:59 |
| volatility3-2.26.2-r0.apk | 1.0 MiB | 2025-Nov-20 21:59 |
| voikko-fi-2.5-r0.apk | 1.6 MiB | 2024-Oct-26 05:52 |
| vmtouch-doc-1.3.1-r0.apk | 7.0 KiB | 2024-Oct-26 05:52 |
| vmtouch-1.3.1-r0.apk | 11.8 KiB | 2024-Oct-26 05:52 |
| vmlinux.h-6.18-r0.apk | 247.1 KiB | 2025-Dec-17 07:34 |
| vkbasalt-doc-0.3.2.10-r0.apk | 3.1 KiB | 2024-Oct-26 05:52 |
| vkbasalt-0.3.2.10-r0.apk | 501.2 KiB | 2024-Oct-26 05:52 |
| vit-pyc-2.3.2-r1.apk | 151.4 KiB | 2024-Oct-26 05:52 |
| vit-2.3.2-r1.apk | 80.5 KiB | 2024-Oct-26 05:52 |
| visidata-zsh-completion-3.3-r0.apk | 9.4 KiB | 2025-Sep-15 22:56 |
| visidata-pyc-3.3-r0.apk | 845.8 KiB | 2025-Sep-15 22:56 |
| visidata-doc-3.3-r0.apk | 18.4 KiB | 2025-Sep-15 22:56 |
| visidata-3.3-r0.apk | 424.6 KiB | 2025-Sep-15 22:56 |
| virtualgl-doc-3.1.4-r0.apk | 314.7 KiB | 2025-Oct-09 13:43 |
| virtualgl-dev-3.1.4-r0.apk | 6.1 KiB | 2025-Oct-09 13:43 |
| virtualgl-3.1.4-r0.apk | 2.0 MiB | 2025-Oct-09 13:43 |
| virter-zsh-completion-0.29.0-r5.apk | 4.1 KiB | 2025-Dec-06 01:44 |
| virter-fish-completion-0.29.0-r5.apk | 4.3 KiB | 2025-Dec-06 01:44 |
| virter-doc-0.29.0-r5.apk | 14.9 KiB | 2025-Dec-06 01:44 |
| virter-bash-completion-0.29.0-r5.apk | 6.1 KiB | 2025-Dec-06 01:44 |
| virter-0.29.0-r5.apk | 5.7 MiB | 2025-Dec-06 01:44 |
| virtctl-zsh-completion-1.6.2-r1.apk | 4.0 KiB | 2025-Dec-06 01:44 |
| virtctl-fish-completion-1.6.2-r1.apk | 4.3 KiB | 2025-Dec-06 01:44 |
| virtctl-bash-completion-1.6.2-r1.apk | 5.1 KiB | 2025-Dec-06 01:44 |
| virtctl-1.6.2-r1.apk | 14.4 MiB | 2025-Dec-06 01:44 |
| vimv-doc-3.1.0-r0.apk | 2.0 KiB | 2025-Oct-13 06:21 |
| vimv-3.1.0-r0.apk | 254.0 KiB | 2025-Oct-13 06:21 |
| vim-rust-305-r1.apk | 19.9 KiB | 2025-Aug-21 17:02 |
| vim-nerdtree-7.1.3-r0.apk | 66.6 KiB | 2025-Mar-05 06:00 |
| vim-airline-doc-0.11-r0.apk | 12.4 KiB | 2024-Oct-26 05:52 |
| vim-airline-0.11-r0.apk | 86.6 KiB | 2024-Oct-26 05:52 |
| vile-doc-9.8z_p1-r2.apk | 357.2 KiB | 2025-Oct-06 20:58 |
| vile-common-9.8z_p1-r2.apk | 361.7 KiB | 2025-Oct-06 20:58 |
| vile-9.8z_p1-r2.apk | 745.5 KiB | 2025-Oct-06 20:58 |
| viewnior-lang-1.8-r1.apk | 85.1 KiB | 2024-Oct-26 05:52 |
| viewnior-doc-1.8-r1.apk | 2.1 KiB | 2024-Oct-26 05:52 |
| viewnior-1.8-r1.apk | 73.6 KiB | 2024-Oct-26 05:52 |
| video-trimmer-lang-25.03-r0.apk | 92.6 KiB | 2025-Jun-30 22:53 |
| video-trimmer-25.03-r0.apk | 376.5 KiB | 2025-Jun-30 22:53 |
| vidcutter-pyc-6.0.5.3-r0.apk | 1.9 MiB | 2024-Oct-26 05:52 |
| vidcutter-doc-6.0.5.3-r0.apk | 24.2 KiB | 2024-Oct-26 05:52 |
| vidcutter-6.0.5.3-r0.apk | 2.8 MiB | 2024-Oct-26 05:52 |
| vice-3.9-r2.apk | 13.0 MiB | 2025-Sep-27 22:40 |
| vfd-configurations-0_git20230612-r0.apk | 24.8 KiB | 2024-Oct-26 05:52 |
| vera++-1.3.0-r11.apk | 188.8 KiB | 2025-Oct-12 23:25 |
| vectoroids-doc-1.1.0-r2.apk | 2.3 KiB | 2024-Oct-26 05:52 |
| vectoroids-1.1.0-r2.apk | 282.2 KiB | 2024-Oct-26 05:52 |
| vcstool-zsh-completion-0.3.0-r5.apk | 1.7 KiB | 2024-Oct-26 05:52 |
| vcstool-tcsh-completion-0.3.0-r5.apk | 1.6 KiB | 2024-Oct-26 05:52 |
| vcstool-pyc-0.3.0-r5.apk | 57.6 KiB | 2024-Oct-26 05:52 |
| vcstool-bash-completion-0.3.0-r5.apk | 1.7 KiB | 2024-Oct-26 05:52 |
| vcstool-0.3.0-r5.apk | 34.8 KiB | 2024-Oct-26 05:52 |
| vcsh-zsh-completion-2.0.5-r0.apk | 2.9 KiB | 2024-Oct-26 05:52 |
| vcsh-doc-2.0.5-r0.apk | 27.2 KiB | 2024-Oct-26 05:52 |
| vcsh-bash-completion-2.0.5-r0.apk | 2.9 KiB | 2024-Oct-26 05:52 |
| vcsh-2.0.5-r0.apk | 8.8 KiB | 2024-Oct-26 05:52 |
| vcmi-1.6.8-r2.apk | 12.8 MiB | 2025-Sep-27 22:40 |
| vcdimager-doc-2.0.1-r5.apk | 74.3 KiB | 2025-Jan-22 19:33 |
| vcdimager-dev-2.0.1-r5.apk | 174.1 KiB | 2025-Jan-22 19:33 |
| vcdimager-2.0.1-r5.apk | 482.2 KiB | 2025-Jan-22 19:33 |
| varnish-modules-doc-0.26.0-r0.apk | 21.5 KiB | 2025-Jul-07 07:04 |
| varnish-modules-0.26.0-r0.apk | 54.4 KiB | 2025-Jul-07 07:04 |
| vals-0.42.4-r1.apk | 35.1 MiB | 2025-Dec-06 01:44 |
| vali-dev-0.1.0-r1.apk | 4.3 KiB | 2025-Nov-30 20:57 |
| vali-0.1.0-r1.apk | 30.7 KiB | 2025-Nov-30 20:57 |
| valent-libs-1.0.0_alpha49-r0.apk | 131.8 KiB | 2025-Dec-25 03:31 |
| valent-lang-1.0.0_alpha49-r0.apk | 38.8 KiB | 2025-Dec-25 03:31 |
| valent-dev-1.0.0_alpha49-r0.apk | 94.4 KiB | 2025-Dec-25 03:31 |
| valent-1.0.0_alpha49-r0.apk | 342.9 KiB | 2025-Dec-25 03:31 |
| uxn-doc-1.0-r0.apk | 4.2 KiB | 2024-Oct-26 05:52 |
| uxn-1.0-r0.apk | 42.5 KiB | 2024-Oct-26 05:52 |
| uucp-doc-1.07-r6.apk | 118.1 KiB | 2024-Oct-26 05:52 |
| uucp-1.07-r6.apk | 506.9 KiB | 2024-Oct-26 05:52 |
| ustr-static-1.0.4-r1.apk | 323.3 KiB | 2024-Oct-26 05:52 |
| ustr-doc-1.0.4-r1.apk | 96.5 KiB | 2024-Oct-26 05:52 |
| ustr-dev-1.0.4-r1.apk | 91.4 KiB | 2024-Oct-26 05:52 |
| ustr-debug-1.0.4-r1.apk | 75.2 KiB | 2024-Oct-26 05:52 |
| ustr-1.0.4-r1.apk | 55.8 KiB | 2024-Oct-26 05:52 |
| usql-0.19.26-r1.apk | 42.3 MiB | 2025-Dec-06 01:44 |
| usbtop-1.0-r0.apk | 13.4 KiB | 2025-Apr-12 21:26 |
| usb-moded-notify-systemd-0.2.2-r0.apk | 1.8 KiB | 2025-Dec-28 07:37 |
| usb-moded-notify-0.2.2-r0.apk | 3.0 KiB | 2025-Dec-28 07:37 |
| urlwatch-pyc-2.28-r2.apk | 101.2 KiB | 2024-Oct-26 05:52 |
| urlwatch-doc-2.28-r2.apk | 33.2 KiB | 2024-Oct-26 05:52 |
| urlwatch-2.28-r2.apk | 48.7 KiB | 2024-Oct-26 05:52 |
| uranium-5.2.2-r3.apk | 595.5 KiB | 2024-Oct-26 05:52 |
| upterm-zsh-completion-0.17.0-r1.apk | 4.0 KiB | 2025-Dec-06 01:44 |
| upterm-server-openrc-0.17.0-r1.apk | 2.0 KiB | 2025-Dec-06 01:44 |
| upterm-server-0.17.0-r1.apk | 6.5 MiB | 2025-Dec-06 01:44 |
| upterm-doc-0.17.0-r1.apk | 7.0 KiB | 2025-Dec-06 01:44 |
| upterm-bash-completion-0.17.0-r1.apk | 5.6 KiB | 2025-Dec-06 01:44 |
| upterm-0.17.0-r1.apk | 6.9 MiB | 2025-Dec-06 01:44 |
| update-sysfs-2.1.1_p7-r0.apk | 3.1 KiB | 2025-Nov-12 19:44 |
| up-0.4-r34.apk | 1.2 MiB | 2025-Dec-06 01:44 |
| unit-php82-1.35.0-r0.apk | 31.2 KiB | 2025-Oct-24 11:40 |
| unit-php81-1.35.0-r1.apk | 31.2 KiB | 2025-Sep-16 00:04 |
| unicorn-dev-2.1.4-r0.apk | 17.0 MiB | 2025-Oct-14 23:06 |
| unicorn-2.1.4-r0.apk | 7.5 MiB | 2025-Oct-14 23:06 |
| undock-0.10.0-r5.apk | 9.9 MiB | 2025-Dec-06 01:44 |
| ufw-docker-doc-250710-r0.apk | 13.6 KiB | 2025-Sep-14 15:51 |
| ufw-docker-250710-r0.apk | 7.4 KiB | 2025-Sep-14 15:51 |
| ueberzug-pyc-18.3.1-r0.apk | 63.8 KiB | 2025-Feb-22 20:09 |
| ueberzug-18.3.1-r0.apk | 65.8 KiB | 2025-Feb-22 20:09 |
| uclient-fetch-20241022-r0.apk | 10.3 KiB | 2025-May-30 07:00 |
| uclient-dev-20241022-r0.apk | 3.5 KiB | 2025-May-30 07:00 |
| uclient-20241022-r0.apk | 19.5 KiB | 2025-May-30 07:00 |
| ubus-dev-2025.10.17-r0.apk | 5.6 KiB | 2025-Oct-25 22:01 |
| ubus-2025.10.17-r0.apk | 37.2 KiB | 2025-Oct-25 22:01 |
| ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2.3 KiB | 2024-Oct-26 05:52 |
| ubuntu-archive-keyring-2023.11.28.1-r0.apk | 16.1 KiB | 2024-Oct-26 05:52 |
| ubase-doc-20200605-r3.apk | 21.2 KiB | 2024-Oct-26 05:52 |
| ubase-20200605-r3.apk | 44.2 KiB | 2024-Oct-26 05:52 |
| u1db-qt-0.1.8-r0.apk | 96.1 KiB | 2024-Oct-26 05:52 |
| typstyle-0.12.14-r0.apk | 515.3 KiB | 2025-Jan-12 23:22 |
| typobuster-1.0.0-r0.apk | 129.4 KiB | 2025-Apr-24 07:42 |
| typlite-0.14.2-r0.apk | 15.2 MiB | 2025-Nov-23 01:32 |
| ty-zsh-completion-0.0.4-r0.apk | 3.5 KiB | 2025-Dec-26 22:37 |
| ty-pyc-0.0.4-r0.apk | 3.9 KiB | 2025-Dec-26 22:37 |
| ty-fish-completion-0.0.4-r0.apk | 3.2 KiB | 2025-Dec-26 22:37 |
| ty-bash-completion-0.0.4-r0.apk | 2.6 KiB | 2025-Dec-26 22:37 |
| ty-0.0.4-r0.apk | 6.4 MiB | 2025-Dec-26 22:37 |
| twinkle-doc-1.10.3-r3.apk | 3.5 KiB | 2025-Jan-05 06:55 |
| twinkle-1.10.3-r3.apk | 2.4 MiB | 2025-Jan-05 06:55 |
| twemproxy-doc-0.5.0-r0.apk | 17.4 KiB | 2024-Oct-26 05:52 |
| twemproxy-0.5.0-r0.apk | 68.5 KiB | 2024-Oct-26 05:52 |
| tuwunel-openrc-1.4.8-r0.apk | 1.9 KiB | 2025-Dec-27 07:32 |
| tuwunel-1.4.8-r0.apk | 12.6 MiB | 2025-Dec-27 07:32 |
| turntable-lang-0.3.3-r0.apk | 12.3 KiB | 2025-May-27 22:01 |
| turntable-0.3.3-r0.apk | 178.4 KiB | 2025-May-27 22:01 |
| turnstile-openrc-0.1.10-r3.apk | 1.8 KiB | 2024-Oct-26 05:52 |
| turnstile-doc-0.1.10-r3.apk | 5.7 KiB | 2024-Oct-26 05:52 |
| turnstile-0.1.10-r3.apk | 37.5 KiB | 2024-Oct-26 05:52 |
| turn-rs-openrc-3.4.0-r1.apk | 2.0 KiB | 2025-Jun-12 22:44 |
| turn-rs-doc-3.4.0-r1.apk | 10.8 KiB | 2025-Jun-12 22:44 |
| turn-rs-3.4.0-r1.apk | 577.0 KiB | 2025-Jun-12 22:44 |
| tuptime-openrc-5.2.4-r2.apk | 1.7 KiB | 2025-Sep-05 16:32 |
| tuptime-doc-5.2.4-r2.apk | 3.8 KiB | 2025-Sep-05 16:32 |
| tuptime-5.2.4-r2.apk | 14.0 KiB | 2025-Sep-05 16:32 |
| tup-vim-0.7.11-r1.apk | 2.5 KiB | 2025-Jun-19 18:15 |
| tup-doc-0.7.11-r1.apk | 20.9 KiB | 2025-Jun-19 18:15 |
| tup-0.7.11-r1.apk | 232.5 KiB | 2025-Jun-19 18:15 |
| tui-journal-doc-0.10.0-r0.apk | 6.8 KiB | 2025-Feb-17 21:09 |
| tui-journal-0.10.0-r0.apk | 2.0 MiB | 2025-Feb-17 21:09 |
| tuckr-0.12.0-r0.apk | 491.8 KiB | 2025-Nov-30 19:11 |
| ttyper-1.6.0-r0.apk | 600.3 KiB | 2025-Feb-06 06:47 |
| ttynvt-0.17-r0.apk | 15.0 KiB | 2025-Aug-22 21:50 |
| tty-share-2.4.0-r23.apk | 3.7 MiB | 2025-Dec-06 01:44 |
| tty-clock-doc-2.3_git20240104-r0.apk | 3.1 KiB | 2024-Oct-26 05:52 |
| tty-clock-2.3_git20240104-r0.apk | 8.2 KiB | 2024-Oct-26 05:52 |
| ttfautohint-libs-1.8.4-r0.apk | 109.7 KiB | 2024-Oct-26 05:52 |
| ttfautohint-gui-1.8.4-r0.apk | 57.7 KiB | 2024-Oct-26 05:52 |
| ttfautohint-doc-1.8.4-r0.apk | 8.1 KiB | 2024-Oct-26 05:52 |
| ttfautohint-dev-1.8.4-r0.apk | 247.3 KiB | 2024-Oct-26 05:52 |
| ttfautohint-1.8.4-r0.apk | 28.4 KiB | 2024-Oct-26 05:52 |
| tsung-1.8.0-r3.apk | 721.8 KiB | 2025-Jun-13 14:48 |
| trivy-0.68.2-r0.apk | 64.6 MiB | 2025-Dec-19 21:20 |
| trippy-zsh-completion-0.13.0-r0.apk | 4.8 KiB | 2025-May-19 08:22 |
| trippy-bash-completion-0.13.0-r0.apk | 3.2 KiB | 2025-May-19 08:22 |
| trippy-0.13.0-r0.apk | 2.0 MiB | 2025-May-19 08:22 |
| trigger-rally-doc-0.6.7-r3.apk | 28.1 KiB | 2025-Mar-25 23:44 |
| trigger-rally-data-0.6.7-r3.apk | 352.0 MiB | 2025-Mar-25 23:44 |
| trigger-rally-0.6.7-r3.apk | 304.3 KiB | 2025-Mar-25 23:44 |
| tremc-zsh-completion-0.9.4-r0.apk | 1.8 KiB | 2025-Jul-16 13:16 |
| tremc-doc-0.9.4-r0.apk | 2.8 KiB | 2025-Jul-16 13:16 |
| tremc-bash-completion-0.9.4-r0.apk | 1.9 KiB | 2025-Jul-16 13:16 |
| tremc-0.9.4-r0.apk | 52.6 KiB | 2025-Jul-16 13:16 |
| tree-sitter-pascal-doc-0.9.1-r0.apk | 2.3 KiB | 2024-Oct-26 05:51 |
| tree-sitter-pascal-0.9.1-r0.apk | 83.6 KiB | 2024-Oct-26 05:51 |
| tree-sitter-make-0_git20211216-r2.apk | 43.2 KiB | 2024-Oct-26 05:51 |
| tree-sitter-just-0_git20230318-r0.apk | 15.2 KiB | 2024-Oct-26 05:51 |
| tree-sitter-hcl-1.2.0-r0.apk | 23.0 KiB | 2025-Jun-19 09:28 |
| tree-sitter-haskell-0.23.1-r0.apk | 288.7 KiB | 2025-Jan-10 00:52 |
| tree-sitter-hare-0_git20230616-r2.apk | 33.8 KiB | 2025-Jul-23 05:39 |
| tree-sitter-gleam-1.1.0-r0.apk | 64.9 KiB | 2025-Oct-09 13:43 |
| tree-sitter-git-rebase-0_git20240722-r0.apk | 5.6 KiB | 2025-Mar-11 08:04 |
| tree-sitter-git-diff-0_git20230730-r1.apk | 10.2 KiB | 2025-Jul-25 22:27 |
| tree-sitter-git-commit-0_git20211225-r4.apk | 13.3 KiB | 2025-Jul-25 22:27 |
| tree-sitter-dart-0_git20250228-r0.apk | 103.2 KiB | 2025-Mar-11 08:04 |
| tree-sitter-clojure-0.0.13-r0.apk | 23.6 KiB | 2025-Jul-23 20:59 |
| tree-sitter-caddy-doc-0_git20230322-r0.apk | 2.3 KiB | 2024-Oct-26 05:51 |
| tree-sitter-caddy-0_git20230322-r0.apk | 76.5 KiB | 2024-Oct-26 05:51 |
| tre-static-0.8.0-r2.apk | 50.7 KiB | 2024-Oct-26 05:51 |
| tre-dev-0.8.0-r2.apk | 5.1 KiB | 2024-Oct-26 05:51 |
| tre-0.8.0-r2.apk | 27.2 KiB | 2024-Oct-26 05:51 |
| trantor-doc-1.5.18-r0.apk | 2.6 KiB | 2024-Oct-26 05:51 |
| trantor-dev-1.5.18-r0.apk | 34.0 KiB | 2024-Oct-26 05:51 |
| trantor-1.5.18-r0.apk | 228.2 KiB | 2024-Oct-26 05:51 |
| transmission-remote-gtk-lang-1.6.0-r0.apk | 106.0 KiB | 2024-Oct-26 05:51 |
| transmission-remote-gtk-doc-1.6.0-r0.apk | 3.2 KiB | 2024-Oct-26 05:51 |
| transmission-remote-gtk-1.6.0-r0.apk | 129.7 KiB | 2024-Oct-26 05:51 |
| transito-doc-0.10.0-r0.apk | 755.8 KiB | 2025-Dec-22 07:46 |
| transito-0.10.0-r0.apk | 8.4 MiB | 2025-Dec-22 07:46 |
| trace-cmd-doc-3.3.1-r1.apk | 171.3 KiB | 2025-Jan-19 20:57 |
| trace-cmd-dbg-3.3.1-r1.apk | 516.1 KiB | 2025-Jan-19 20:57 |
| trace-cmd-bash-completion-3.3.1-r1.apk | 3.4 KiB | 2025-Jan-19 20:57 |
| trace-cmd-3.3.1-r1.apk | 165.6 KiB | 2025-Jan-19 20:57 |
| tqm-1.18.0-r0.apk | 4.5 MiB | 2025-Dec-14 02:47 |
| tpp-bypass-0.8.4-r0.apk | 12.7 KiB | 2024-Oct-26 05:51 |
| tpm2-pkcs11-pyc-1.9.2-r0.apk | 69.9 KiB | 2025-Dec-13 23:54 |
| tpm2-pkcs11-dev-1.9.2-r0.apk | 1.9 KiB | 2025-Dec-13 23:54 |
| tpm2-pkcs11-1.9.2-r0.apk | 130.8 KiB | 2025-Dec-13 23:54 |
| toybox-0.8.13-r0.apk | 241.6 KiB | 2025-Oct-16 19:30 |
| touchpad-emulator-0.3-r0.apk | 13.7 KiB | 2025-May-26 18:33 |
| toss-1.1-r1.apk | 12.2 KiB | 2025-May-29 21:04 |
| torrent-file-editor-0.3.18-r0.apk | 362.2 KiB | 2024-Oct-26 05:51 |
| topgit-doc-0.19.13-r1.apk | 73.4 KiB | 2024-Oct-26 05:51 |
| topgit-bash-completion-0.19.13-r1.apk | 4.0 KiB | 2024-Oct-26 05:51 |
| topgit-0.19.13-r1.apk | 126.6 KiB | 2024-Oct-26 05:51 |
| tonutils-reverse-proxy-doc-0.4.6-r4.apk | 3.2 KiB | 2025-Dec-06 01:44 |
| tonutils-reverse-proxy-0.4.6-r4.apk | 4.3 MiB | 2025-Dec-06 01:44 |
| toml2json-doc-1.3.2-r0.apk | 3.7 KiB | 2025-Oct-17 13:32 |
| toml2json-1.3.2-r0.apk | 348.6 KiB | 2025-Oct-17 13:32 |
| tomcat9-openrc-9.0.112-r0.apk | 4.6 KiB | 2025-Dec-26 22:37 |
| tomcat9-examples-9.0.112-r0.apk | 438.6 KiB | 2025-Dec-26 22:37 |
| tomcat9-doc-9.0.112-r0.apk | 1.7 MiB | 2025-Dec-26 22:37 |
| tomcat9-admin-9.0.112-r0.apk | 127.1 KiB | 2025-Dec-26 22:37 |
| tomcat9-9.0.112-r0.apk | 6.8 MiB | 2025-Dec-26 22:37 |
| today-doc-6.2.1-r0.apk | 3.3 KiB | 2025-Jun-18 00:29 |
| today-6.2.1-r0.apk | 3.2 KiB | 2025-Jun-18 00:29 |
| toapk-1.0-r0.apk | 10.3 KiB | 2024-Oct-26 05:51 |
| tnef-doc-1.4.18-r0.apk | 4.2 KiB | 2024-Oct-26 05:51 |
| tnef-1.4.18-r0.apk | 25.4 KiB | 2024-Oct-26 05:51 |
| tncattach-doc-0.1.9-r1.apk | 3.9 KiB | 2024-Oct-26 05:51 |
| tncattach-0.1.9-r1.apk | 22.3 KiB | 2024-Oct-26 05:51 |
| tmux-resurrect-doc-4.0.0-r0.apk | 8.4 KiB | 2024-Oct-26 05:51 |
| tmux-resurrect-4.0.0-r0.apk | 13.8 KiB | 2024-Oct-26 05:51 |
| tmpmail-doc-1.2.3-r2.apk | 3.2 KiB | 2024-Oct-26 05:51 |
| tmpmail-1.2.3-r2.apk | 7.0 KiB | 2024-Oct-26 05:51 |
| tmpl-doc-0.4.0-r16.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| tmpl-0.4.0-r16.apk | 2.6 MiB | 2025-Dec-06 01:44 |
| tmate-doc-2.4.0-r4.apk | 71.7 KiB | 2024-Oct-26 05:51 |
| tmate-2.4.0-r4.apk | 282.9 KiB | 2024-Oct-26 05:51 |
| tldr-python-client-pyc-3.3.0-r0.apk | 14.2 KiB | 2024-Dec-02 02:09 |
| tldr-python-client-doc-3.3.0-r0.apk | 3.5 KiB | 2024-Dec-02 02:09 |
| tldr-python-client-3.3.0-r0.apk | 12.1 KiB | 2024-Dec-02 02:09 |
| tinyscheme-1.42-r1.apk | 61.2 KiB | 2024-Oct-26 05:51 |
| tinymist-0.14.2-r0.apk | 19.6 MiB | 2025-Nov-23 01:32 |
| tinygltf-dev-2.9.7-r0.apk | 57.2 KiB | 2025-Nov-03 07:10 |
| tinygltf-2.9.7-r0.apk | 140.6 KiB | 2025-Nov-03 07:10 |
| tinyemu-2019.12.21-r0.apk | 161.9 KiB | 2025-May-26 08:35 |
| tintin-2.02.51-r0.apk | 1.8 MiB | 2025-Nov-24 21:41 |
| timoni-zsh-completion-0.23.0-r10.apk | 4.0 KiB | 2025-Dec-06 01:44 |
| timoni-fish-completion-0.23.0-r10.apk | 4.3 KiB | 2025-Dec-06 01:44 |
| timoni-doc-0.23.0-r10.apk | 337.9 KiB | 2025-Dec-06 01:44 |
| timoni-bash-completion-0.23.0-r10.apk | 8.0 KiB | 2025-Dec-06 01:44 |
| timoni-0.23.0-r10.apk | 22.6 MiB | 2025-Dec-06 01:44 |
| timewarrior-doc-1.7.1-r0.apk | 22.2 KiB | 2024-Oct-26 05:51 |
| timewarrior-1.7.1-r0.apk | 269.8 KiB | 2024-Oct-26 05:51 |
| timew-doc-1.4.3-r1.apk | 53.1 KiB | 2024-Oct-26 05:51 |
| timew-bash-completion-1.4.3-r1.apk | 2.7 KiB | 2024-Oct-26 05:51 |
| timew-1.4.3-r1.apk | 275.5 KiB | 2024-Oct-26 05:51 |
| timeshift-lang-25.12.2-r0.apk | 1.0 MiB | 2025-Dec-24 06:22 |
| timeshift-doc-25.12.2-r0.apk | 3.2 KiB | 2025-Dec-24 06:22 |
| timeshift-25.12.2-r0.apk | 458.6 KiB | 2025-Dec-24 06:22 |
| ticker-zsh-completion-5.0.7-r7.apk | 4.1 KiB | 2025-Dec-06 01:44 |
| ticker-fish-completion-5.0.7-r7.apk | 4.3 KiB | 2025-Dec-06 01:44 |
| ticker-bash-completion-5.0.7-r7.apk | 6.1 KiB | 2025-Dec-06 01:44 |
| ticker-5.0.7-r7.apk | 3.4 MiB | 2025-Dec-06 01:44 |
| tick-doc-1.2.3-r0.apk | 5.5 KiB | 2025-Oct-06 15:06 |
| tick-1.2.3-r0.apk | 11.2 KiB | 2025-Oct-06 15:06 |
| thunarx-python-doc-0.5.2-r2.apk | 25.2 KiB | 2024-Oct-26 05:51 |
| thunarx-python-0.5.2-r2.apk | 9.8 KiB | 2024-Oct-26 05:51 |
| thunar-gtkhash-plugin-1.5-r0.apk | 22.9 KiB | 2024-Oct-26 05:51 |
| thumbdrives-0.3.2-r2.apk | 10.9 KiB | 2024-Oct-26 05:51 |
| throttled-pyc-0.10.0-r1.apk | 28.4 KiB | 2024-Dec-16 04:24 |
| throttled-openrc-0.10.0-r1.apk | 1.6 KiB | 2024-Dec-16 04:24 |
| throttled-0.10.0-r1.apk | 14.6 KiB | 2024-Dec-16 04:24 |
| theme.sh-doc-1.1.5-r0.apk | 2.3 KiB | 2024-Oct-26 05:51 |
| theme.sh-1.1.5-r0.apk | 39.1 KiB | 2024-Oct-26 05:51 |
| thelounge-openrc-4.4.3-r0.apk | 2.0 KiB | 2024-Oct-26 05:51 |
| thelounge-doc-4.4.3-r0.apk | 2.3 KiB | 2024-Oct-26 05:51 |
| thelounge-4.4.3-r0.apk | 29.1 MiB | 2024-Oct-26 05:51 |
| thefuck-pyc-3.32-r5.apk | 155.9 KiB | 2024-Oct-26 05:51 |
| thefuck-3.32-r5.apk | 83.3 KiB | 2024-Oct-26 05:51 |
| theforceengine-doc-1.09.540-r1.apk | 6.3 MiB | 2024-Oct-26 05:51 |
| theforceengine-1.09.540-r1.apk | 6.9 MiB | 2024-Oct-26 05:51 |
| tfupdate-doc-0.8.2-r11.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| tfupdate-0.8.2-r11.apk | 4.7 MiB | 2025-Dec-06 01:44 |
| texlab-5.23.1-r0.apk | 8.7 MiB | 2025-Aug-22 16:33 |
| termusic-mpv-0.12.0-r0.apk | 9.4 MiB | 2025-Oct-13 10:38 |
| terminology-lang-1.14.0-r0.apk | 143.0 KiB | 2025-May-28 07:49 |
| terminology-doc-1.14.0-r0.apk | 9.0 KiB | 2025-May-28 07:49 |
| terminology-1.14.0-r0.apk | 2.7 MiB | 2025-May-28 07:49 |
| terminalpp-ropen-0.8.4-r0.apk | 57.8 KiB | 2024-Oct-26 05:51 |
| terminalpp-0.8.4-r0.apk | 417.0 KiB | 2024-Oct-26 05:51 |
| termcolor-dev-2.1.0-r0.apk | 6.8 KiB | 2024-Oct-26 05:51 |
| termcolor-2.1.0-r0.apk | 1.4 KiB | 2024-Oct-26 05:51 |
| termbox-static-1.1.2-r1.apk | 19.6 KiB | 2024-Oct-26 05:51 |
| termbox-dev-1.1.2-r1.apk | 5.7 KiB | 2024-Oct-26 05:51 |
| termbox-1.1.2-r1.apk | 12.7 KiB | 2024-Oct-26 05:51 |
| tenv-zsh-completion-4.9.0-r1.apk | 4.0 KiB | 2025-Dec-06 01:44 |
| tenv-fish-completion-4.9.0-r1.apk | 4.3 KiB | 2025-Dec-06 01:44 |
| tenv-bash-completion-4.9.0-r1.apk | 6.1 KiB | 2025-Dec-06 01:44 |
| tenv-4.9.0-r1.apk | 9.4 MiB | 2025-Dec-06 01:44 |
| tempo-vulture-openrc-2.9.0-r1.apk | 2.0 KiB | 2025-Dec-06 01:44 |
| tempo-vulture-2.9.0-r1.apk | 14.3 MiB | 2025-Dec-06 01:44 |
| tempo-query-2.9.0-r1.apk | 6.5 MiB | 2025-Dec-06 01:44 |
| tempo-openrc-2.9.0-r1.apk | 1.9 KiB | 2025-Dec-06 01:44 |
| tempo-cli-2.9.0-r1.apk | 21.6 MiB | 2025-Dec-06 01:44 |
| tempo-2.9.0-r1.apk | 30.3 MiB | 2025-Dec-06 01:44 |
| templ-0.3.960-r1.apk | 5.3 MiB | 2025-Dec-06 01:44 |
| telegram-tdlib-static-1.8.51-r0.apk | 28.5 MiB | 2025-Aug-02 19:31 |
| telegram-tdlib-dev-1.8.51-r0.apk | 188.7 KiB | 2025-Aug-02 19:31 |
| telegram-tdlib-1.8.51-r0.apk | 7.2 MiB | 2025-Aug-02 19:31 |
| telegram-bot-api-9.1-r0.apk | 7.2 MiB | 2025-Aug-02 20:32 |
| tealdeer-zsh-completion-1.8.0-r0.apk | 2.4 KiB | 2025-Oct-28 11:34 |
| tealdeer-fish-completion-1.8.0-r0.apk | 2.3 KiB | 2025-Oct-28 11:34 |
| tealdeer-bash-completion-1.8.0-r0.apk | 2.0 KiB | 2025-Oct-28 11:34 |
| tealdeer-1.8.0-r0.apk | 812.3 KiB | 2025-Oct-28 11:34 |
| tdrop-doc-0.5.0-r0.apk | 9.1 KiB | 2024-Oct-26 05:51 |
| tdrop-0.5.0-r0.apk | 12.1 KiB | 2024-Oct-26 05:51 |
| tcpbench-doc-3.00-r1.apk | 5.3 KiB | 2025-Sep-13 07:23 |
| tcpbench-3.00-r1.apk | 23.5 KiB | 2025-Sep-13 07:23 |
| tcmu-runner-rbd-1.6.0-r6.apk | 12.6 KiB | 2024-Oct-26 05:51 |
| tcmu-runner-doc-1.6.0-r6.apk | 2.5 KiB | 2024-Oct-26 05:51 |
| tcmu-runner-1.6.0-r6.apk | 88.5 KiB | 2024-Oct-26 05:51 |
| tcl-curl-doc-7.22.0-r0.apk | 37.6 KiB | 2024-Oct-26 05:51 |
| tcl-curl-7.22.0-r0.apk | 34.4 KiB | 2024-Oct-26 05:51 |
| tayga-doc-0.9.5-r0.apk | 6.1 KiB | 2025-Jun-20 16:58 |
| tayga-0.9.5-r0.apk | 27.8 KiB | 2025-Jun-20 16:58 |
| taskcafe-openrc-0.3.6-r18.apk | 1.8 KiB | 2025-Dec-06 01:44 |
| taskcafe-0.3.6-r18.apk | 13.5 MiB | 2025-Dec-06 01:44 |
| tartube-pyc-2.5.0-r2.apk | 1.1 MiB | 2025-Dec-09 21:13 |
| tartube-2.5.0-r2.apk | 2.7 MiB | 2025-Dec-09 21:13 |
| tanka-0.35.0-r1.apk | 7.0 MiB | 2025-Dec-06 01:44 |
| tanidvr-dhav2mkv-1.4.1-r2.apk | 14.9 KiB | 2025-Mar-21 20:52 |
| tanidvr-1.4.1-r2.apk | 26.0 KiB | 2025-Mar-21 20:52 |
| tangctl-0_git20241007-r9.apk | 2.6 MiB | 2025-Dec-06 01:44 |
| tang-openrc-15-r0.apk | 1.9 KiB | 2025-Jul-16 16:59 |
| tang-doc-15-r0.apk | 20.6 KiB | 2025-Jul-16 16:59 |
| tang-dbg-15-r0.apk | 32.4 KiB | 2025-Jul-16 16:59 |
| tang-15-r0.apk | 16.5 KiB | 2025-Jul-16 16:59 |
| tailspin-zsh-completion-5.5.0-r0.apk | 2.5 KiB | 2025-Oct-28 15:58 |
| tailspin-fish-completion-5.5.0-r0.apk | 2.1 KiB | 2025-Oct-28 15:58 |
| tailspin-doc-5.5.0-r0.apk | 3.0 KiB | 2025-Oct-28 15:58 |
| tailspin-bash-completion-5.5.0-r0.apk | 2.2 KiB | 2025-Oct-28 15:58 |
| tailspin-5.5.0-r0.apk | 1.1 MiB | 2025-Oct-28 15:58 |
| tachyon-scenes-0.99_beta6-r2.apk | 1.9 MiB | 2025-Dec-11 10:32 |
| tachyon-0.99_beta6-r2.apk | 111.5 KiB | 2025-Dec-11 10:32 |
| tabiew-0.11.0-r0.apk | 12.5 MiB | 2025-Jul-30 16:59 |
| t2sz-1.1.2-r0.apk | 8.7 KiB | 2024-Oct-26 05:51 |
| syncwhen-systemd-0.3-r0.apk | 1.8 KiB | 2025-Nov-02 02:26 |
| syncwhen-0.3-r0.apk | 5.3 KiB | 2025-Nov-02 02:26 |
| syncthing-gtk-pyc-0.9.4.5-r2.apk | 220.2 KiB | 2024-Oct-26 05:51 |
| syncthing-gtk-doc-0.9.4.5-r2.apk | 2.2 KiB | 2024-Oct-26 05:51 |
| syncthing-gtk-0.9.4.5-r2.apk | 439.9 KiB | 2024-Oct-26 05:51 |
| sympow-doc-2.023.7-r2.apk | 3.1 KiB | 2024-Oct-26 05:51 |
| sympow-2.023.7-r2.apk | 1.8 MiB | 2024-Oct-26 05:51 |
| symlinks-doc-1.4.3-r0.apk | 3.8 KiB | 2025-Apr-22 06:27 |
| symlinks-1.4.3-r0.apk | 6.3 KiB | 2025-Apr-22 06:27 |
| symengine-0.12.0-r0.apk | 6.1 MiB | 2024-Oct-26 05:51 |
| symbiyosys-0.36-r0.apk | 37.6 KiB | 2024-Oct-26 05:51 |
| sylpheed-imap-notify-1.1.0-r2.apk | 8.4 KiB | 2024-Oct-26 05:51 |
| sydbox-vim-3.45.2-r0.apk | 7.2 KiB | 2025-Dec-03 11:47 |
| sydbox-utils-3.45.2-r0.apk | 6.0 MiB | 2025-Dec-03 11:47 |
| sydbox-test-3.45.2-r0.apk | 1.7 MiB | 2025-Dec-03 11:47 |
| sydbox-syd-3.45.2-r0.apk | 2.0 MiB | 2025-Dec-03 11:47 |
| sydbox-doc-3.45.2-r0.apk | 165.3 KiB | 2025-Dec-03 11:47 |
| sydbox-3.45.2-r0.apk | 4.5 MiB | 2025-Dec-03 11:47 |
| syd-tui-0.2.2-r0.apk | 400.4 KiB | 2025-Dec-08 10:46 |
| sxcs-doc-1.1.0-r0.apk | 2.6 KiB | 2024-Oct-26 05:51 |
| sxcs-1.1.0-r0.apk | 8.4 KiB | 2024-Oct-26 05:51 |
| swi-prolog-xpce-doc-9.2.9-r0.apk | 1.0 MiB | 2024-Dec-21 19:08 |
| swi-prolog-xpce-9.2.9-r0.apk | 921.8 KiB | 2024-Dec-21 19:08 |
| swi-prolog-pyc-9.2.9-r0.apk | 22.5 KiB | 2024-Dec-21 19:08 |
| swi-prolog-doc-9.2.9-r0.apk | 2.1 MiB | 2024-Dec-21 19:08 |
| swi-prolog-9.2.9-r0.apk | 5.0 MiB | 2024-Dec-21 19:08 |
| swayhide-0.2.1-r2.apk | 270.5 KiB | 2024-Oct-26 05:51 |
| sway-audio-idle-inhibit-0.1.2-r0.apk | 10.0 KiB | 2024-Oct-26 05:51 |
| swappy-lang-1.7.1-r0.apk | 3.8 KiB | 2025-Aug-22 08:29 |
| swappy-doc-1.7.1-r0.apk | 3.8 KiB | 2025-Aug-22 08:29 |
| swappy-1.7.1-r0.apk | 28.7 KiB | 2025-Aug-22 08:29 |
| swaks-doc-20240103.0-r0.apk | 49.6 KiB | 2024-Oct-26 05:51 |
| swaks-20240103.0-r0.apk | 66.1 KiB | 2024-Oct-26 05:51 |
| svls-doc-0.2.14-r0.apk | 2.2 KiB | 2025-Dec-13 12:03 |
| svls-0.2.14-r0.apk | 3.3 MiB | 2025-Dec-13 12:03 |
| svgbob-0.7.6-r0.apk | 443.1 KiB | 2025-May-14 21:31 |
| suru-icon-theme-2025.05.0-r0.apk | 2.9 MiB | 2025-May-10 02:33 |
| surfraw-doc-2.3.0-r0.apk | 17.7 KiB | 2024-Oct-26 05:51 |
| surfraw-2.3.0-r0.apk | 78.7 KiB | 2024-Oct-26 05:51 |
| surf-doc-2.1-r3.apk | 4.6 KiB | 2024-Oct-26 05:51 |
| surf-2.1-r3.apk | 21.7 KiB | 2024-Oct-26 05:51 |
| supersonik-0.1.0-r3.apk | 1.1 MiB | 2025-Dec-06 01:44 |
| sudo-ldap-1.9.17_p1-r0.apk | 743.1 KiB | 2025-Jul-02 19:32 |
| subliminal-pyc-2.4.0-r0.apk | 179.0 KiB | 2025-Nov-24 08:12 |
| subliminal-2.4.0-r0.apk | 89.8 KiB | 2025-Nov-24 08:12 |
| sublime-music-pyc-0.12.0-r1.apk | 301.9 KiB | 2024-Oct-26 05:51 |
| sublime-music-0.12.0-r1.apk | 189.5 KiB | 2024-Oct-26 05:51 |
| stw-doc-0.3-r0.apk | 2.5 KiB | 2024-Oct-26 05:51 |
| stw-0.3-r0.apk | 7.7 KiB | 2024-Oct-26 05:51 |
| stone-soup-0.33.1-r0.apk | 30.2 MiB | 2025-Dec-26 23:55 |
| stockfish-17-r0.apk | 61.8 MiB | 2025-Mar-04 07:43 |
| sthttpd-openrc-2.27.1-r2.apk | 1.9 KiB | 2024-Oct-26 05:51 |
| sthttpd-doc-2.27.1-r2.apk | 18.2 KiB | 2024-Oct-26 05:51 |
| sthttpd-2.27.1-r2.apk | 57.0 KiB | 2024-Oct-26 05:51 |
| stgit-zsh-completion-2.4.7-r1.apk | 23.6 KiB | 2024-Oct-26 05:51 |
| stgit-vim-2.4.7-r1.apk | 3.5 KiB | 2024-Oct-26 05:51 |
| stgit-fish-completion-2.4.7-r1.apk | 11.7 KiB | 2024-Oct-26 05:51 |
| stgit-emacs-2.4.7-r1.apk | 27.6 KiB | 2024-Oct-26 05:51 |
| stgit-doc-2.4.7-r1.apk | 126.2 KiB | 2024-Oct-26 05:51 |
| stgit-bash-completion-2.4.7-r1.apk | 17.0 KiB | 2024-Oct-26 05:51 |
| stgit-2.4.7-r1.apk | 2.0 MiB | 2024-Oct-26 05:51 |
| stern-zsh-completion-1.33.0-r1.apk | 4.0 KiB | 2025-Dec-06 01:44 |
| stern-fish-completion-1.33.0-r1.apk | 4.3 KiB | 2025-Dec-06 01:44 |
| stern-bash-completion-1.33.0-r1.apk | 5.8 KiB | 2025-Dec-06 01:44 |
| stern-1.33.0-r1.apk | 17.8 MiB | 2025-Dec-06 01:44 |
| steghide-doc-0.5.1.1-r0.apk | 13.7 KiB | 2024-Oct-26 05:51 |
| steghide-0.5.1.1-r0.apk | 146.0 KiB | 2024-Oct-26 05:51 |
| steamguard-cli-zsh-completion-0.17.1-r0.apk | 4.2 KiB | 2025-Jul-16 06:03 |
| steamguard-cli-bash-completion-0.17.1-r0.apk | 2.9 KiB | 2025-Jul-16 06:03 |
| steamguard-cli-0.17.1-r0.apk | 3.0 MiB | 2025-Jul-16 06:03 |
| stayrtr-openrc-0.6.3-r1.apk | 2.0 KiB | 2025-Dec-06 01:44 |
| stayrtr-0.6.3-r1.apk | 10.7 MiB | 2025-Dec-06 01:44 |
| startup-tools-2.0.3-r5.apk | 13.3 KiB | 2024-Oct-26 05:51 |
| startup-lang-2.0.3-r5.apk | 16.5 KiB | 2024-Oct-26 05:51 |
| startup-fish-completion-2.0.3-r5.apk | 5.4 KiB | 2024-Oct-26 05:51 |
| startup-doc-2.0.3-r5.apk | 47.6 KiB | 2024-Oct-26 05:51 |
| startup-dev-2.0.3-r5.apk | 5.8 KiB | 2024-Oct-26 05:51 |
| startup-bridge-udev-2.0.3-r5.apk | 32.8 KiB | 2024-Oct-26 05:51 |
| startup-bridge-dconf-2.0.3-r5.apk | 32.7 KiB | 2024-Oct-26 05:51 |
| startup-2.0.3-r5.apk | 442.5 KiB | 2024-Oct-26 05:51 |
| starfighter-doc-2.4-r0.apk | 21.6 KiB | 2024-Oct-26 05:51 |
| starfighter-2.4-r0.apk | 47.9 MiB | 2024-Oct-26 05:51 |
| stam-0.12.2-r0.apk | 1.6 MiB | 2025-Sep-22 21:11 |
| stalwart-mail-openrc-0.15.2-r0.apk | 2.1 KiB | 2025-Dec-23 10:32 |
| stalwart-mail-0.15.2-r0.apk | 15.8 MiB | 2025-Dec-23 10:32 |
| stalwart-cli-0.15.2-r0.apk | 1.9 MiB | 2025-Dec-23 10:32 |
| stacker-doc-1.1.3-r1.apk | 15.7 KiB | 2025-Dec-06 01:44 |
| stacker-1.1.3-r1.apk | 23.4 MiB | 2025-Dec-06 01:44 |
| sstp-client-doc-1.0.20-r3.apk | 4.9 KiB | 2025-Oct-24 12:14 |
| sstp-client-dev-1.0.20-r3.apk | 6.0 KiB | 2025-Oct-24 12:14 |
| sstp-client-1.0.20-r3.apk | 41.5 KiB | 2025-Oct-24 12:14 |
| ssss-doc-0.5.7-r0.apk | 3.6 KiB | 2024-Oct-26 05:51 |
| ssss-0.5.7-r0.apk | 13.3 KiB | 2024-Oct-26 05:51 |
| sssd-openrc-2.11.1-r2.apk | 1.7 KiB | 2025-Nov-20 16:33 |
| sssd-dev-2.11.1-r2.apk | 14.8 KiB | 2025-Nov-20 16:33 |
| sssd-2.11.1-r2.apk | 2.0 MiB | 2025-Nov-20 16:33 |
| sshuttle-pyc-1.1.2-r0.apk | 100.5 KiB | 2024-Oct-26 05:51 |
| sshuttle-doc-1.1.2-r0.apk | 8.5 KiB | 2024-Oct-26 05:51 |
| sshuttle-1.1.2-r0.apk | 62.2 KiB | 2024-Oct-26 05:51 |
| sshsrv-1.0-r17.apk | 1014.4 KiB | 2025-Dec-06 01:44 |
| sshs-4.7.2-r0.apk | 714.0 KiB | 2025-Apr-24 07:42 |
| sshm-doc-1.9.0-r1.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| sshm-1.9.0-r1.apk | 3.8 MiB | 2025-Dec-06 01:44 |
| ssh-tools-1.8-r0.apk | 25.6 KiB | 2024-Oct-26 05:51 |
| ssh-studio-pyc-1.3.1-r0.apk | 106.6 KiB | 2025-Oct-13 05:36 |
| ssh-studio-lang-1.3.1-r0.apk | 1.9 KiB | 2025-Oct-13 05:36 |
| ssh-studio-1.3.1-r0.apk | 202.4 KiB | 2025-Oct-13 05:36 |
| ssh-honeypot-openrc-0.1.1-r1.apk | 2.0 KiB | 2024-Oct-26 05:51 |
| ssh-honeypot-0.1.1-r1.apk | 8.6 KiB | 2024-Oct-26 05:51 |
| ssh-get-id-doc-0.2.0-r0.apk | 2.3 KiB | 2025-Dec-15 12:11 |
| ssh-get-id-0.2.0-r0.apk | 2.3 MiB | 2025-Dec-15 12:11 |
| ssdfs-tools-dev-4.09-r0.apk | 18.4 KiB | 2024-Oct-26 05:51 |
| ssdfs-tools-4.09-r0.apk | 90.7 KiB | 2024-Oct-26 05:51 |
| srb2-data-2.2.15-r2.apk | 159.6 MiB | 2025-Dec-21 00:18 |
| srb2-2.2.15-r2.apk | 1.9 MiB | 2025-Dec-21 00:18 |
| srain-lang-1.8.1-r0.apk | 35.1 KiB | 2025-Jun-27 04:10 |
| srain-1.8.1-r0.apk | 163.7 KiB | 2025-Jun-27 04:10 |
| squeak-vm-doc-4.10.2.2614-r2.apk | 12.3 KiB | 2025-Oct-09 21:30 |
| squeak-vm-4.10.2.2614-r2.apk | 615.0 KiB | 2025-Oct-09 21:30 |
| sqruff-doc-0.25.26-r0.apk | 8.6 KiB | 2025-Apr-14 05:35 |
| sqruff-0.25.26-r0.apk | 2.1 MiB | 2025-Apr-14 05:35 |
| sqm-scripts-1.6.0-r0.apk | 20.4 KiB | 2024-Oct-26 05:50 |
| sqlmap-pyc-1.9.12-r0.apk | 1.2 MiB | 2025-Dec-13 05:05 |
| sqlmap-1.9.12-r0.apk | 6.7 MiB | 2025-Dec-13 05:05 |
| sqliteodbc-0.99991-r0.apk | 91.5 KiB | 2024-Oct-26 05:50 |
| sqlar-doc-0_git20180107-r1.apk | 3.3 KiB | 2024-Oct-26 05:50 |
| sqlar-0_git20180107-r1.apk | 11.8 KiB | 2024-Oct-26 05:50 |
| spvm-thread-doc-0.003-r1.apk | 6.0 KiB | 2025-Jun-30 16:50 |
| spvm-thread-0.003-r1.apk | 15.0 KiB | 2025-Jun-30 16:50 |
| spvm-mime-base64-doc-1.003-r1.apk | 5.5 KiB | 2025-Jun-30 16:50 |
| spvm-mime-base64-1.003-r1.apk | 17.5 KiB | 2025-Jun-30 16:50 |
| spvm-math-doc-1.006-r1.apk | 6.9 KiB | 2025-Jun-30 16:50 |
| spvm-math-1.006-r1.apk | 20.5 KiB | 2025-Jun-30 16:50 |
| spvm-errno-doc-0.093-r1.apk | 6.0 KiB | 2025-Jun-30 16:50 |
| spvm-errno-0.093-r1.apk | 17.4 KiB | 2025-Jun-30 16:50 |
| spreadtrum_flash-1.20240815-r0.apk | 37.9 KiB | 2025-May-08 19:45 |
| spread-sheet-widget-doc-0.10-r0.apk | 4.6 KiB | 2024-Oct-26 05:50 |
| spread-sheet-widget-dev-0.10-r0.apk | 690.3 KiB | 2024-Oct-26 05:50 |
| spread-sheet-widget-dbg-0.10-r0.apk | 195.9 KiB | 2024-Oct-26 05:50 |
| spread-sheet-widget-0.10-r0.apk | 45.4 KiB | 2024-Oct-26 05:50 |
| sponskrub-3.7.2-r9.apk | 182.4 KiB | 2025-Jun-07 18:06 |
| spnavcfg-1.1-r0.apk | 36.5 KiB | 2024-Oct-26 05:50 |
| spiped-1.6.4-r0.apk | 73.7 KiB | 2025-Dec-06 01:44 |
| spike-1.1.0-r0.apk | 1.4 MiB | 2024-Oct-26 05:50 |
| spice-html5-0.3.0-r1.apk | 438.7 KiB | 2024-Oct-26 05:50 |
| speedtest_exporter-openrc-0.3.2-r20.apk | 1.9 KiB | 2025-Dec-06 01:44 |
| speedtest_exporter-0.3.2-r20.apk | 3.8 MiB | 2025-Dec-06 01:44 |
| speedtest-go-openrc-1.1.5-r20.apk | 1.7 KiB | 2025-Dec-06 01:44 |
| speedtest-go-doc-1.1.5-r20.apk | 4.5 KiB | 2025-Dec-06 01:44 |
| speedtest-go-1.1.5-r20.apk | 5.4 MiB | 2025-Dec-06 01:44 |
| speedtest-examples-5.2.5-r1.apk | 12.9 KiB | 2024-Oct-26 05:50 |
| speedtest-doc-5.2.5-r1.apk | 18.4 KiB | 2024-Oct-26 05:50 |
| speedtest-5.2.5-r1.apk | 253.5 KiB | 2024-Oct-26 05:50 |
| speedcrunch-0.12-r3.apk | 1.1 MiB | 2025-Jun-15 12:45 |
| spark-2.8.3-r1.apk | 28.9 MiB | 2024-Oct-26 05:50 |
| spacenavd-1.2-r0.apk | 32.8 KiB | 2024-Oct-26 05:50 |
| spacectl-zsh-completion-1.12.0-r6.apk | 1.8 KiB | 2025-Dec-06 01:44 |
| spacectl-fish-completion-1.12.0-r6.apk | 7.1 KiB | 2025-Dec-06 01:44 |
| spacectl-doc-1.12.0-r6.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| spacectl-bash-completion-1.12.0-r6.apk | 2.1 KiB | 2025-Dec-06 01:44 |
| spacectl-1.12.0-r6.apk | 5.6 MiB | 2025-Dec-06 01:44 |
| soundconverter-pyc-4.1.1-r0.apk | 76.6 KiB | 2025-Jul-29 22:13 |
| soundconverter-lang-4.1.1-r0.apk | 106.9 KiB | 2025-Jul-29 22:13 |
| soundconverter-doc-4.1.1-r0.apk | 4.7 KiB | 2025-Jul-29 22:13 |
| soundconverter-4.1.1-r0.apk | 164.5 KiB | 2025-Jul-29 22:13 |
| sos-0.8-r36.apk | 2.7 MiB | 2025-Dec-06 01:44 |
| sopwith-doc-2.5.0-r0.apk | 14.9 KiB | 2024-Oct-26 05:50 |
| sopwith-2.5.0-r0.apk | 50.0 KiB | 2024-Oct-26 05:50 |
| sonicradio-0.8.4-r2.apk | 3.2 MiB | 2025-Dec-06 01:44 |
| sonar-scanner-7.1.0.4889-r0.apk | 10.7 MiB | 2025-May-05 09:28 |
| somebar-doc-1.0.3-r0.apk | 2.4 KiB | 2024-Oct-26 05:50 |
| somebar-1.0.3-r0.apk | 51.9 KiB | 2024-Oct-26 05:50 |
| solarus-engine-doc-1.7.0-r2.apk | 3.4 KiB | 2025-Jul-21 04:38 |
| solarus-engine-1.7.0-r2.apk | 2.1 MiB | 2025-Jul-21 04:38 |
| solanum-lang-6.0.0-r0.apk | 47.3 KiB | 2025-Apr-10 22:21 |
| solanum-6.0.0-r0.apk | 258.2 KiB | 2025-Apr-10 22:21 |
| soapy-bladerf-0.4.2-r0.apk | 48.4 KiB | 2024-Dec-31 10:11 |
| so-0.4.10-r0.apk | 2.2 MiB | 2024-Oct-26 05:50 |
| snore-doc-0.3.1-r0.apk | 3.0 KiB | 2024-Oct-26 05:50 |
| snore-0.3.1-r0.apk | 4.4 KiB | 2024-Oct-26 05:50 |
| snippets-ls-0.0.4_git20240617-r10.apk | 1.3 MiB | 2025-Dec-06 01:44 |
| snapraid-doc-13.0-r0.apk | 19.0 KiB | 2025-Nov-01 10:25 |
| snapraid-13.0-r0.apk | 278.4 KiB | 2025-Nov-01 10:25 |
| snapper-zsh-completion-0.13.0-r0.apk | 3.6 KiB | 2025-Sep-17 03:46 |
| snapper-lang-0.13.0-r0.apk | 219.0 KiB | 2025-Sep-17 03:46 |
| snapper-doc-0.13.0-r0.apk | 25.3 KiB | 2025-Sep-17 03:46 |
| snapper-dev-0.13.0-r0.apk | 10.7 KiB | 2025-Sep-17 03:46 |
| snapper-bash-completion-0.13.0-r0.apk | 3.4 KiB | 2025-Sep-17 03:46 |
| snapper-0.13.0-r0.apk | 1.0 MiB | 2025-Sep-17 03:46 |
| smplxmpp-doc-0.9.3-r5.apk | 24.8 KiB | 2025-Oct-12 20:43 |
| smplxmpp-0.9.3-r5.apk | 149.0 KiB | 2025-Oct-12 20:43 |
| smile-lang-2.11.0-r0.apk | 28.2 KiB | 2025-Dec-21 20:40 |
| smile-2.11.0-r0.apk | 873.3 KiB | 2025-Dec-21 20:40 |
| smassh-pyc-3.1.6-r0.apk | 70.2 KiB | 2024-Nov-21 11:56 |
| smassh-3.1.6-r0.apk | 72.4 KiB | 2024-Nov-21 11:56 |
| slurm-doc-0.4.4-r0.apk | 2.5 KiB | 2024-Oct-26 05:50 |
| slurm-0.4.4-r0.apk | 14.2 KiB | 2024-Oct-26 05:50 |
| sloccount-doc-2.26-r3.apk | 59.6 KiB | 2024-Oct-26 05:50 |
| sloccount-2.26-r3.apk | 65.0 KiB | 2024-Oct-26 05:50 |
| sish-openrc-2.16.1-r10.apk | 1.9 KiB | 2025-Dec-06 01:44 |
| sish-2.16.1-r10.apk | 7.9 MiB | 2025-Dec-06 01:44 |
| siril-lang-1.2.6-r5.apk | 1.6 MiB | 2025-Sep-28 06:16 |
| siril-doc-1.2.6-r5.apk | 17.8 KiB | 2025-Sep-28 06:16 |
| siril-1.2.6-r5.apk | 2.8 MiB | 2025-Sep-28 06:16 |
| sipgrep-2.2.0-r1.apk | 25.8 KiB | 2024-Oct-26 05:50 |
| sipexer-1.2.0-r8.apk | 2.8 MiB | 2025-Dec-06 01:44 |
| singular-static-4.4.1_p2-r1.apk | 12.7 MiB | 2025-Nov-26 17:34 |
| singular-emacs-4.4.1_p2-r1.apk | 101.6 KiB | 2025-Nov-26 17:34 |
| singular-doc-4.4.1_p2-r1.apk | 1.3 MiB | 2025-Nov-26 17:34 |
| singular-dev-4.4.1_p2-r1.apk | 359.2 KiB | 2025-Nov-26 17:34 |
| singular-4.4.1_p2-r1.apk | 11.7 MiB | 2025-Nov-26 17:34 |
| simpleble-dev-0.10.3-r0.apk | 27.9 KiB | 2025-Jul-16 06:03 |
| simpleble-0.10.3-r0.apk | 1.2 KiB | 2025-Jul-16 06:03 |
| simp1e-cursors-zenburn-left-0_git20250312-r0.apk | 540.2 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-zenburn-0_git20250312-r0.apk | 529.3 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk | 545.6 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk | 533.9 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk | 526.9 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk | 519.8 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk | 541.0 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-tokyo-night-0_git20250312-r0.apk | 529.9 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-solarized-light-left-0_git20250312-r0.apk | 542.0 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-solarized-light-0_git20250312-r0.apk | 531.1 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk | 535.0 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-solarized-dark-0_git20250312-r0.apk | 523.7 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk | 550.2 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk | 537.9 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-rose-pine-left-0_git20250312-r0.apk | 545.1 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk | 550.6 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk | 538.4 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-rose-pine-0_git20250312-r0.apk | 533.5 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-nord-light-left-0_git20250312-r0.apk | 524.7 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-nord-light-0_git20250312-r0.apk | 517.9 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-nord-dark-left-0_git20250312-r0.apk | 548.6 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-nord-dark-0_git20250312-r0.apk | 535.6 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-mix-light-left-0_git20250312-r0.apk | 451.4 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-mix-light-0_git20250312-r0.apk | 449.5 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-mix-dark-left-0_git20250312-r0.apk | 492.2 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-mix-dark-0_git20250312-r0.apk | 486.7 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-left-0_git20250312-r0.apk | 448.5 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk | 526.6 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-gruvbox-light-0_git20250312-r0.apk | 517.1 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk | 537.0 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk | 525.0 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-doc-0_git20250312-r0.apk | 13.8 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-dark-left-0_git20250312-r0.apk | 489.6 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-dark-0_git20250312-r0.apk | 483.9 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk | 539.9 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk | 529.9 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk | 544.4 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk | 532.7 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk | 537.3 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk | 527.6 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk | 547.5 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk | 535.0 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-breeze-left-0_git20250312-r0.apk | 509.3 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk | 526.3 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-breeze-dark-0_git20250312-r0.apk | 520.0 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-breeze-0_git20250312-r0.apk | 504.2 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-adw-left-0_git20250312-r0.apk | 483.0 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-adw-dark-left-0_git20250312-r0.apk | 485.0 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-adw-dark-0_git20250312-r0.apk | 482.0 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-adw-0_git20250312-r0.apk | 477.8 KiB | 2025-Mar-13 21:28 |
| simp1e-cursors-0_git20250312-r0.apk | 446.7 KiB | 2025-Mar-13 21:28 |
| simh-3.11.1-r1.apk | 3.4 MiB | 2024-Oct-26 05:50 |
| simgear-dev-2024.1.1-r0.apk | 404.1 KiB | 2025-Mar-05 09:18 |
| simgear-2024.1.1-r0.apk | 2.2 MiB | 2025-Mar-05 09:18 |
| silc-client-doc-1.1.11-r18.apk | 82.1 KiB | 2025-Jun-30 16:50 |
| silc-client-1.1.11-r18.apk | 882.2 KiB | 2025-Jun-30 16:50 |
| sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13.3 KiB | 2024-Oct-26 05:50 |
| sigrok-cli-doc-0.7.2-r0.apk | 8.0 KiB | 2024-Oct-26 05:50 |
| sigrok-cli-0.7.2-r0.apk | 38.2 KiB | 2024-Oct-26 05:50 |
| sigma-pyc-0.23.1-r1.apk | 339.8 KiB | 2024-Oct-26 05:50 |
| sigma-0.23.1-r1.apk | 236.7 KiB | 2024-Oct-26 05:50 |
| sigil-lang-2.7.0-r0.apk | 2.6 MiB | 2025-Dec-18 12:09 |
| sigil-2.7.0-r0.apk | 4.8 MiB | 2025-Dec-18 12:09 |
| shutdown-clear-machine-id-1.0.0-r0.apk | 1.8 KiB | 2024-Oct-26 05:50 |
| shntool-doc-3.0.10-r5.apk | 10.3 KiB | 2025-Feb-25 08:50 |
| shntool-3.0.10-r5.apk | 58.5 KiB | 2025-Feb-25 08:50 |
| shipments-0.3.0-r0.apk | 23.7 KiB | 2024-Oct-26 05:50 |
| shine-3.1.1-r0.apk | 69.2 KiB | 2024-Oct-26 05:50 |
| shellinabox-openrc-2.21-r3.apk | 3.5 KiB | 2024-Oct-26 05:50 |
| shellinabox-doc-2.21-r3.apk | 19.3 KiB | 2024-Oct-26 05:50 |
| shellinabox-2.21-r3.apk | 118.5 KiB | 2024-Oct-26 05:50 |
| shc-4.0.3-r2.apk | 20.1 KiB | 2024-Oct-26 05:50 |
| shadowsocks-libev-doc-3.3.5-r4.apk | 28.0 KiB | 2024-Oct-26 05:50 |
| shadowsocks-libev-dev-3.3.5-r4.apk | 3.4 KiB | 2024-Oct-26 05:50 |
| shadowsocks-libev-3.3.5-r4.apk | 225.5 KiB | 2024-Oct-26 05:50 |
| sgt-puzzles-0_git20230310-r2.apk | 3.0 MiB | 2024-Oct-26 05:50 |
| sfwbar-doc-1.0_beta161-r0.apk | 26.5 KiB | 2025-Jul-31 22:29 |
| sfwbar-1.0_beta161-r0.apk | 286.8 KiB | 2025-Jul-31 22:29 |
| sflowtool-doc-6.02-r0.apk | 9.3 KiB | 2024-Oct-26 05:50 |
| sflowtool-6.02-r0.apk | 43.1 KiB | 2024-Oct-26 05:50 |
| setroot-doc-2.0.2-r1.apk | 4.4 KiB | 2024-Oct-26 05:50 |
| setroot-2.0.2-r1.apk | 11.9 KiB | 2024-Oct-26 05:50 |
| serie-doc-0.5.5-r0.apk | 8.2 KiB | 2025-Dec-10 10:27 |
| serie-0.5.5-r0.apk | 843.9 KiB | 2025-Dec-10 10:27 |
| serialdv-libs-1.1.5-r0.apk | 66.7 KiB | 2025-Oct-25 05:26 |
| serialdv-dev-1.1.5-r0.apk | 5.3 KiB | 2025-Oct-25 05:26 |
| serialdv-1.1.5-r0.apk | 6.7 KiB | 2025-Oct-25 05:26 |
| sentrypeer-doc-4.0.4-r0.apk | 3.3 KiB | 2025-Mar-19 20:46 |
| sentrypeer-4.0.4-r0.apk | 1.5 MiB | 2025-Mar-19 20:46 |
| sentinel-proxy-openrc-2.1.0-r1.apk | 2.2 KiB | 2025-Jun-13 23:04 |
| sentinel-proxy-dev-2.1.0-r1.apk | 5.0 KiB | 2025-Jun-13 23:04 |
| sentinel-proxy-2.1.0-r1.apk | 42.9 KiB | 2025-Jun-13 23:04 |
| sentinel-minipot-openrc-2.3.0-r1.apk | 2.6 KiB | 2024-Oct-26 05:50 |
| sentinel-minipot-2.3.0-r1.apk | 42.6 KiB | 2024-Oct-26 05:50 |
| semaphoreui-zsh-completion-2.16.37-r1.apk | 4.0 KiB | 2025-Dec-06 01:44 |
| semaphoreui-openrc-2.16.37-r1.apk | 2.1 KiB | 2025-Dec-06 01:44 |
| semaphoreui-fish-completion-2.16.37-r1.apk | 4.3 KiB | 2025-Dec-06 01:44 |
| semaphoreui-doc-2.16.37-r1.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| semaphoreui-bash-completion-2.16.37-r1.apk | 6.1 KiB | 2025-Dec-06 01:44 |
| semaphoreui-2.16.37-r1.apk | 15.9 MiB | 2025-Dec-06 01:44 |
| seed7-vim-05.20240322-r0.apk | 4.0 KiB | 2024-Oct-26 05:50 |
| seed7-nano-05.20240322-r0.apk | 2.5 KiB | 2024-Oct-26 05:50 |
| seed7-doc-05.20240322-r0.apk | 1.6 MiB | 2024-Oct-26 05:50 |
| seed7-05.20240322-r0.apk | 10.5 MiB | 2024-Oct-26 05:50 |
| sedutil-doc-1.15.1-r1.apk | 3.0 KiB | 2024-Oct-26 05:50 |
| sedutil-1.15.1-r1.apk | 203.2 KiB | 2024-Oct-26 05:50 |
| secsipidx-libs-1.3.2-r17.apk | 2.4 MiB | 2025-Dec-06 01:44 |
| secsipidx-dev-1.3.2-r17.apk | 5.4 MiB | 2025-Dec-06 01:44 |
| secsipidx-1.3.2-r17.apk | 2.7 MiB | 2025-Dec-06 01:44 |
| sdparm-doc-1.12-r1.apk | 19.3 KiB | 2024-Oct-26 05:50 |
| sdparm-1.12-r1.apk | 145.6 KiB | 2024-Oct-26 05:50 |
| sdl3_image-doc-3.2.4-r0.apk | 2.1 KiB | 2025-Mar-25 05:39 |
| sdl3_image-dev-3.2.4-r0.apk | 12.1 KiB | 2025-Mar-25 05:39 |
| sdl3_image-3.2.4-r0.apk | 79.7 KiB | 2025-Mar-25 05:39 |
| sct-2018.12.18-r1.apk | 4.0 KiB | 2024-Oct-26 05:50 |
| screenkey-pyc-1.5-r7.apk | 73.1 KiB | 2025-Jul-23 06:37 |
| screenkey-doc-1.5-r7.apk | 11.0 KiB | 2025-Jul-23 06:37 |
| screenkey-1.5-r7.apk | 76.4 KiB | 2025-Jul-23 06:37 |
| scooper-doc-1.3-r1.apk | 2.6 KiB | 2024-Oct-26 05:50 |
| scooper-1.3-r1.apk | 484.9 KiB | 2024-Oct-26 05:50 |
| schismtracker-doc-20251014-r0.apk | 6.3 KiB | 2025-Oct-25 08:51 |
| schismtracker-20251014-r0.apk | 458.2 KiB | 2025-Oct-25 08:51 |
| scenefx-doc-0.4.1-r0.apk | 2.3 KiB | 2025-Dec-21 08:14 |
| scenefx-dev-0.4.1-r0.apk | 10.9 KiB | 2025-Dec-21 08:14 |
| scenefx-0.4.1-r0.apk | 57.5 KiB | 2025-Dec-21 08:14 |
| scap-workbench-doc-1.2.1-r3.apk | 1.6 MiB | 2024-Oct-26 05:50 |
| scap-workbench-1.2.1-r3.apk | 229.5 KiB | 2024-Oct-26 05:50 |
| scalingo-1.30.0-r15.apk | 5.3 MiB | 2025-Dec-06 01:44 |
| sc-controller-udev-0.5.5-r0.apk | 2.1 KiB | 2025-Dec-14 17:56 |
| sc-controller-pyc-0.5.5-r0.apk | 814.3 KiB | 2025-Dec-14 17:56 |
| sc-controller-0.5.5-r0.apk | 1.3 MiB | 2025-Dec-14 17:56 |
| sblim-wbemcli-doc-1.6.3-r1.apk | 4.5 KiB | 2024-Oct-26 05:50 |
| sblim-wbemcli-1.6.3-r1.apk | 100.5 KiB | 2024-Oct-26 05:50 |
| sblim-sfcc-doc-2.2.8-r3.apk | 34.6 KiB | 2024-Oct-26 05:50 |
| sblim-sfcc-dev-2.2.8-r3.apk | 22.3 KiB | 2024-Oct-26 05:50 |
| sblim-sfcc-2.2.8-r3.apk | 55.4 KiB | 2024-Oct-26 05:50 |
| sblg-doc-0.5.11-r0.apk | 1.3 MiB | 2024-Oct-26 05:50 |
| sblg-0.5.11-r0.apk | 39.0 KiB | 2024-Oct-26 05:50 |
| sbase-doc-0_git20210730-r3.apk | 58.2 KiB | 2024-Oct-26 05:50 |
| sbase-0_git20210730-r3.apk | 121.6 KiB | 2024-Oct-26 05:50 |
| sauerbraten-2020.12.29-r4.apk | 934.0 MiB | 2025-Feb-21 03:31 |
| satellite-openrc-1.0.0-r33.apk | 1.9 KiB | 2025-Dec-06 01:44 |
| satellite-doc-1.0.0-r33.apk | 3.0 KiB | 2025-Dec-06 01:44 |
| satellite-1.0.0-r33.apk | 2.2 MiB | 2025-Dec-06 01:44 |
| sandbar-0.2_git20250909-r0.apk | 14.8 KiB | 2025-Dec-21 23:38 |
| sacc-doc-1.07-r1.apk | 2.9 KiB | 2025-Sep-13 07:23 |
| sacc-1.07-r1.apk | 15.7 KiB | 2025-Sep-13 07:23 |
| saait-doc-0.8-r0.apk | 12.7 KiB | 2024-Oct-26 05:49 |
| saait-0.8-r0.apk | 7.1 KiB | 2024-Oct-26 05:49 |
| s5cmd-2.3.0-r8.apk | 5.0 MiB | 2025-Dec-06 01:44 |
| s-postgray-doc-0.8.3-r0.apk | 9.6 KiB | 2024-Oct-26 05:49 |
| s-postgray-0.8.3-r0.apk | 47.1 KiB | 2024-Oct-26 05:49 |
| s-dkim-sign-doc-0.6.2-r0.apk | 8.5 KiB | 2024-Oct-26 05:49 |
| s-dkim-sign-0.6.2-r0.apk | 58.4 KiB | 2024-Oct-26 05:49 |
| rygel-systemd-45.0-r1.apk | 1.7 KiB | 2025-Nov-19 03:36 |
| rygel-lang-45.0-r1.apk | 583.6 KiB | 2025-Nov-19 03:36 |
| rygel-doc-45.0-r1.apk | 9.7 KiB | 2025-Nov-19 03:36 |
| rygel-dev-45.0-r1.apk | 42.7 KiB | 2025-Nov-19 03:36 |
| rygel-45.0-r1.apk | 774.1 KiB | 2025-Nov-19 03:36 |
| ry-zsh-completion-0.5.2-r1.apk | 2.2 KiB | 2024-Oct-26 05:49 |
| ry-bash-completion-0.5.2-r1.apk | 1.9 KiB | 2024-Oct-26 05:49 |
| ry-0.5.2-r1.apk | 4.6 KiB | 2024-Oct-26 05:49 |
| rvlprog-0.91-r2.apk | 27.0 KiB | 2024-Oct-26 05:49 |
| ruuvi-prometheus-openrc-0.1.9-r7.apk | 1.7 KiB | 2025-Dec-06 01:44 |
| ruuvi-prometheus-0.1.9-r7.apk | 3.3 MiB | 2025-Dec-06 01:44 |
| rustscan-2.4.1-r0.apk | 1.5 MiB | 2025-Dec-22 11:33 |
| rustls-ffi-dev-0.15.0-r0.apk | 3.0 MiB | 2025-Dec-27 07:42 |
| rustls-ffi-0.15.0-r0.apk | 1015.1 KiB | 2025-Dec-27 07:42 |
| rustical-0.9.14-r0.apk | 4.1 MiB | 2025-Nov-02 16:42 |
| rustic-zsh-completion-0.9.3-r0.apk | 13.1 KiB | 2025-Jun-09 11:28 |
| rustic-fish-completion-0.9.3-r0.apk | 16.7 KiB | 2025-Jun-09 11:28 |
| rustic-bash-completion-0.9.3-r0.apk | 8.7 KiB | 2025-Jun-09 11:28 |
| rustic-0.9.3-r0.apk | 5.9 MiB | 2025-Jun-09 11:28 |
| rust-script-0.36.0-r0.apk | 869.5 KiB | 2025-Sep-05 16:32 |
| ruri-doc-3.9.3-r0.apk | 2.2 KiB | 2025-Oct-27 18:01 |
| ruri-3.9.3-r0.apk | 121.0 KiB | 2025-Oct-27 18:01 |
| runst-doc-0.2.0-r0.apk | 7.8 KiB | 2025-Dec-11 23:36 |
| runst-0.2.0-r0.apk | 1.8 MiB | 2025-Dec-11 23:36 |
| ruff-lsp-pyc-0.0.62-r0.apk | 35.0 KiB | 2025-Mar-07 08:24 |
| ruff-lsp-0.0.62-r0.apk | 21.3 KiB | 2025-Mar-07 08:24 |
| ruby-ruby-progressbar-1.13.0-r0.apk | 24.9 KiB | 2025-Jul-07 07:04 |
| ruby-path_expander-2.0.0-r0.apk | 6.6 KiB | 2025-Dec-16 03:35 |
| ruby-minitest-server-1.0.9-r0.apk | 5.4 KiB | 2025-Nov-22 01:45 |
| ruby-minitest-reporters-1.7.1-r0.apk | 20.1 KiB | 2025-Jul-07 07:04 |
| ruby-minitest-proveit-1.0.0-r0.apk | 4.8 KiB | 2025-Jul-07 07:04 |
| ruby-minitest-power_assert-0.3.1-r0.apk | 2.2 KiB | 2025-Jul-07 07:04 |
| ruby-minitest-focus-1.3.1-r0.apk | 5.2 KiB | 2025-Jul-07 07:04 |
| ruby-minitest-autotest-1.2.0-r0.apk | 14.3 KiB | 2025-Dec-16 03:35 |
| ruby-hoe-4.3.0-r0.apk | 27.4 KiB | 2025-Oct-21 13:19 |
| ruby-hashdiff-doc-1.2.1-r0.apk | 2.3 KiB | 2025-Oct-21 13:18 |
| ruby-hashdiff-1.2.1-r0.apk | 9.0 KiB | 2025-Oct-21 13:18 |
| ruby-fast_gettext-3.1.0-r0.apk | 22.1 KiB | 2025-May-26 08:58 |
| ruby-facter-4.10.0-r0.apk | 218.1 KiB | 2025-Jul-28 11:04 |
| ruby-event_emitter-doc-0.2.6-r0.apk | 2.3 KiB | 2025-May-26 09:00 |
| ruby-event_emitter-0.2.6-r0.apk | 3.1 KiB | 2025-May-26 09:00 |
| ruby-dry-inflector-doc-1.2.0-r0.apk | 2.3 KiB | 2025-Oct-21 13:16 |
| ruby-dry-inflector-1.2.0-r0.apk | 8.2 KiB | 2025-Oct-21 13:16 |
| ruby-crack-doc-1.0.1-r0.apk | 2.2 KiB | 2025-Oct-21 13:14 |
| ruby-crack-1.0.1-r0.apk | 2.3 KiB | 2025-Oct-21 13:14 |
| ruby-coderay-doc-1.1.3-r0.apk | 2.3 KiB | 2025-May-26 08:56 |
| ruby-coderay-1.1.3-r0.apk | 89.1 KiB | 2025-May-26 08:56 |
| ruby-byebug-12.0.0-r0.apk | 127.8 KiB | 2025-Oct-21 13:12 |
| ruby-build-runtime-20250925-r0.apk | 1.3 KiB | 2025-Sep-29 00:46 |
| ruby-build-doc-20250925-r0.apk | 4.8 KiB | 2025-Sep-29 00:46 |
| ruby-build-20250925-r0.apk | 93.0 KiB | 2025-Sep-29 00:46 |
| ruby-benchmark-ips-doc-2.14.0-r0.apk | 2.3 KiB | 2025-May-26 08:54 |
| ruby-benchmark-ips-2.14.0-r0.apk | 14.3 KiB | 2025-May-26 08:54 |
| ruby-appraisal-doc-2.5.0-r0.apk | 2.3 KiB | 2025-May-26 08:52 |
| ruby-appraisal-2.5.0-r0.apk | 10.9 KiB | 2025-May-26 08:52 |
| ruby-ansi-1.5.0-r0.apk | 25.9 KiB | 2025-Jul-07 07:04 |
| rtw89-src-7_p20230725-r0.apk | 758.7 KiB | 2024-Oct-26 05:49 |
| rtptools-doc-1.22-r2.apk | 12.6 KiB | 2024-Oct-26 05:49 |
| rtptools-1.22-r2.apk | 33.1 KiB | 2024-Oct-26 05:49 |
| rtmidi-dev-6.0.0-r0.apk | 14.1 KiB | 2024-Oct-26 05:49 |
| rtmidi-6.0.0-r0.apk | 30.1 KiB | 2024-Oct-26 05:49 |
| rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4.0 MiB | 2024-Oct-26 05:49 |
| rtl8192eu-src-5.11.2.3_git20251017-r0.apk | 2.6 MiB | 2025-Dec-06 01:44 |
| rtl-power-fftw-doc-20200601-r4.apk | 8.2 KiB | 2024-Oct-26 05:49 |
| rtl-power-fftw-20200601-r4.apk | 60.0 KiB | 2024-Oct-26 05:49 |
| rt6-6.0.1-r0.apk | 12.3 MiB | 2025-Aug-12 18:10 |
| rt5-5.0.8-r0.apk | 16.9 MiB | 2025-Jun-15 13:44 |
| rsstail-doc-2.2-r0.apk | 2.8 KiB | 2025-Feb-17 21:09 |
| rsstail-2.2-r0.apk | 8.4 KiB | 2025-Feb-17 21:09 |
| rss-email-doc-0.5.1-r0.apk | 6.4 KiB | 2025-Dec-26 22:37 |
| rss-email-0.5.1-r0.apk | 2.1 MiB | 2025-Dec-26 22:37 |
| rpi-imager-doc-1.9.0-r1.apk | 3.1 KiB | 2025-Jul-24 17:09 |
| rpi-imager-1.9.0-r1.apk | 696.5 KiB | 2025-Jul-24 17:09 |
| rpg-cli-1.2.0-r0.apk | 594.3 KiB | 2024-Oct-26 05:49 |
| rosdep-pyc-0.19.0-r6.apk | 119.2 KiB | 2024-Oct-26 05:49 |
| rosdep-0.19.0-r6.apk | 66.1 KiB | 2024-Oct-26 05:49 |
| roll-doc-2.6.1-r1.apk | 11.3 KiB | 2025-Oct-14 01:08 |
| roll-bash-completion-2.6.1-r1.apk | 1.8 KiB | 2025-Oct-14 01:08 |
| roll-2.6.1-r1.apk | 12.6 KiB | 2025-Oct-14 01:08 |
| rofi-pass-doc-2.0.2-r2.apk | 5.2 KiB | 2024-Oct-26 05:49 |
| rofi-pass-2.0.2-r2.apk | 9.1 KiB | 2024-Oct-26 05:49 |
| rofi-json-menu-0.2.0-r1.apk | 5.4 KiB | 2024-Oct-26 05:49 |
| rocm-core-doc-6.4.3-r0.apk | 2.4 KiB | 2025-Aug-18 20:32 |
| rocm-core-dev-6.4.3-r0.apk | 6.6 KiB | 2025-Aug-18 20:32 |
| rocm-core-6.4.3-r0.apk | 7.9 KiB | 2025-Aug-18 20:32 |
| rocm-cmake-doc-6.4.3-r0.apk | 2.4 KiB | 2025-Aug-18 20:32 |
| rocm-cmake-6.4.3-r0.apk | 28.4 KiB | 2025-Aug-18 20:32 |
| rmlint-shredder-pyc-2.10.2-r2.apk | 124.5 KiB | 2024-Oct-26 05:49 |
| rmlint-shredder-2.10.2-r2.apk | 96.0 KiB | 2024-Oct-26 05:49 |
| rmlint-lang-2.10.2-r2.apk | 18.9 KiB | 2024-Oct-26 05:49 |
| rmlint-doc-2.10.2-r2.apk | 17.8 KiB | 2024-Oct-26 05:49 |
| rmlint-2.10.2-r2.apk | 141.7 KiB | 2024-Oct-26 05:49 |
| rke-doc-1.4.3-r20.apk | 3.0 KiB | 2025-Dec-06 01:44 |
| rke-1.4.3-r20.apk | 19.3 MiB | 2025-Dec-06 01:44 |
| rkdeveloptool-udev-1.1.0-r2.apk | 1.8 KiB | 2025-Nov-27 06:45 |
| rkdeveloptool-doc-1.1.0-r2.apk | 3.0 KiB | 2025-Nov-27 06:45 |
| rkdeveloptool-1.1.0-r2.apk | 54.5 KiB | 2025-Nov-27 06:45 |
| rizin-libs-0.8.1-r0.apk | 5.2 MiB | 2025-Jul-01 01:51 |
| rizin-doc-0.8.1-r0.apk | 19.3 KiB | 2025-Jul-01 01:51 |
| rizin-dev-0.8.1-r0.apk | 322.6 KiB | 2025-Jul-01 01:51 |
| rizin-cutter-dev-2.4.1-r0.apk | 103.2 KiB | 2025-Jul-01 01:51 |
| rizin-cutter-2.4.1-r0.apk | 2.5 MiB | 2025-Jul-01 01:51 |
| rizin-0.8.1-r0.apk | 2.7 MiB | 2025-Jul-01 01:51 |
| river-shifttags-doc-0.2.1-r1.apk | 2.4 KiB | 2025-May-13 06:43 |
| river-shifttags-0.2.1-r1.apk | 6.4 KiB | 2025-May-13 06:43 |
| river-luatile-0.1.4-r0.apk | 251.5 KiB | 2025-May-19 21:03 |
| ripasso-cursive-0.7.0-r0.apk | 3.0 MiB | 2025-May-23 17:40 |
| rio-terminfo-0.2.35-r0.apk | 3.4 KiB | 2025-Nov-15 11:10 |
| rio-doc-0.2.35-r0.apk | 2.3 KiB | 2025-Nov-15 11:10 |
| rio-0.2.35-r0.apk | 10.1 MiB | 2025-Nov-15 11:10 |
| rinetd-openrc-0.73-r0.apk | 1.7 KiB | 2024-Oct-26 05:49 |
| rinetd-doc-0.73-r0.apk | 16.4 KiB | 2024-Oct-26 05:49 |
| rinetd-0.73-r0.apk | 14.6 KiB | 2024-Oct-26 05:49 |
| rhasspy-nlu-pyc-0.4.0-r3.apk | 72.5 KiB | 2024-Oct-26 05:49 |
| rhasspy-nlu-0.4.0-r3.apk | 43.6 KiB | 2024-Oct-26 05:49 |
| rgxg-doc-0.1.2-r2.apk | 12.3 KiB | 2024-Oct-26 05:49 |
| rgxg-dev-0.1.2-r2.apk | 3.5 KiB | 2024-Oct-26 05:49 |
| rgxg-0.1.2-r2.apk | 13.8 KiB | 2024-Oct-26 05:49 |
| restinio-dev-0.6.19-r1.apk | 267.8 KiB | 2024-Dec-15 06:14 |
| restinio-0.6.19-r1.apk | 1.2 KiB | 2024-Dec-15 06:14 |
| restic.mk-0.4.0-r0.apk | 2.9 KiB | 2024-Oct-26 05:49 |
| restart-services-doc-0.17.0-r0.apk | 6.1 KiB | 2024-Oct-26 05:49 |
| restart-services-0.17.0-r0.apk | 11.7 KiB | 2024-Oct-26 05:49 |
| responder-3.1.7.0-r0.apk | 747.3 KiB | 2025-Oct-15 00:00 |
| reprotest-pyc-0.7.32-r0.apk | 102.9 KiB | 2025-Nov-03 02:17 |
| reprotest-0.7.32-r0.apk | 80.3 KiB | 2025-Nov-03 02:17 |
| repowerd-openrc-2023.07-r3.apk | 1.7 KiB | 2025-Feb-17 21:09 |
| repowerd-2023.07-r3.apk | 883.2 KiB | 2025-Feb-17 21:09 |
| repo-doc-2.60-r0.apk | 39.6 KiB | 2025-Dec-13 04:55 |
| repo-2.60-r0.apk | 16.8 KiB | 2025-Dec-13 04:55 |
| remind-caldav-pyc-0.8.0-r4.apk | 6.1 KiB | 2024-Oct-26 05:49 |
| remind-caldav-0.8.0-r4.apk | 17.7 KiB | 2024-Oct-26 05:49 |
| remco-openrc-0.12.5-r5.apk | 1.7 KiB | 2025-Dec-06 01:44 |
| remco-doc-0.12.5-r5.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| remco-0.12.5-r5.apk | 9.4 MiB | 2025-Dec-06 01:44 |
| remake-make-1.5-r1.apk | 1.5 KiB | 2024-Oct-26 05:49 |
| remake-doc-1.5-r1.apk | 201.6 KiB | 2024-Oct-26 05:49 |
| remake-dev-1.5-r1.apk | 2.9 KiB | 2024-Oct-26 05:49 |
| remake-1.5-r1.apk | 140.4 KiB | 2024-Oct-26 05:49 |
| regclient-0.11.1-r0.apk | 13.4 MiB | 2025-Dec-08 19:41 |
| regal-zsh-completion-0.36.1-r1.apk | 4.0 KiB | 2025-Dec-06 01:44 |
| regal-fish-completion-0.36.1-r1.apk | 4.3 KiB | 2025-Dec-06 01:44 |
| regal-bash-completion-0.36.1-r1.apk | 6.1 KiB | 2025-Dec-06 01:44 |
| regal-0.36.1-r1.apk | 11.5 MiB | 2025-Dec-06 01:44 |
| reg-0.16.1-r33.apk | 4.5 MiB | 2025-Dec-06 01:44 |
| refine-lang-0.6.3-r0.apk | 31.9 KiB | 2025-Nov-21 08:49 |
| refine-0.6.3-r0.apk | 34.2 KiB | 2025-Nov-21 08:49 |
| redlib-0.36.0-r0.apk | 2.7 MiB | 2025-Oct-27 18:01 |
| redhat-fonts-4.1.0-r1.apk | 809.0 KiB | 2025-Aug-09 12:11 |
| recoll-doc-1.43.9-r0.apk | 33.7 KiB | 2025-Dec-28 17:01 |
| recoll-dev-1.43.9-r0.apk | 55.1 KiB | 2025-Dec-28 17:01 |
| recoll-1.43.9-r0.apk | 3.1 MiB | 2025-Dec-28 17:01 |
| reaver-wps-fork-t6x-1.6.6-r1.apk | 439.5 KiB | 2024-Oct-26 05:49 |
| reap-doc-0.2-r0.apk | 2.7 KiB | 2025-Sep-13 22:08 |
| reap-0.2-r0.apk | 5.2 KiB | 2025-Sep-13 22:08 |
| readosm-dev-1.1.0-r3.apk | 30.6 KiB | 2025-May-13 06:43 |
| readosm-1.1.0-r3.apk | 15.2 KiB | 2025-May-13 06:43 |
| reaction-tools-2.2.1-r0.apk | 6.6 KiB | 2025-Sep-24 11:26 |
| reaction-openrc-2.2.1-r0.apk | 1.8 KiB | 2025-Sep-24 11:26 |
| reaction-2.2.1-r0.apk | 1.4 MiB | 2025-Sep-24 11:26 |
| rdrview-doc-0.1.3-r0.apk | 3.7 KiB | 2025-Feb-23 05:02 |
| rdrview-0.1.3-r0.apk | 29.6 KiB | 2025-Feb-23 05:02 |
| rclone-browser-1.8.0-r1.apk | 330.5 KiB | 2025-Apr-09 07:21 |
| razercfg-pyc-0.42-r7.apk | 35.6 KiB | 2024-Oct-26 05:49 |
| razercfg-openrc-0.42-r7.apk | 1.7 KiB | 2024-Oct-26 05:49 |
| razercfg-gui-0.42-r7.apk | 19.0 KiB | 2024-Oct-26 05:49 |
| razercfg-0.42-r7.apk | 80.3 KiB | 2024-Oct-26 05:49 |
| rauc-service-1.10.1-r0.apk | 3.7 KiB | 2024-Oct-26 05:49 |
| rauc-doc-1.10.1-r0.apk | 4.1 KiB | 2024-Oct-26 05:49 |
| rauc-1.10.1-r0.apk | 143.1 KiB | 2024-Oct-26 05:49 |
| raspberrypi-usbboot-20250227-r0.apk | 887.7 KiB | 2025-Jun-09 21:16 |
| rankwidth-static-0.9-r4.apk | 6.6 KiB | 2025-Oct-28 11:34 |
| rankwidth-libs-0.9-r4.apk | 5.2 KiB | 2025-Oct-28 11:34 |
| rankwidth-doc-0.9-r4.apk | 2.9 KiB | 2025-Oct-28 11:34 |
| rankwidth-dev-0.9-r4.apk | 2.9 KiB | 2025-Oct-28 11:34 |
| rankwidth-0.9-r4.apk | 5.7 KiB | 2025-Oct-28 11:34 |
| randrctl-pyc-1.10.0-r0.apk | 31.2 KiB | 2024-Nov-18 06:22 |
| randrctl-1.10.0-r0.apk | 27.9 KiB | 2024-Nov-18 06:22 |
| raku-system-query-doc-0.1.6-r1.apk | 2.5 KiB | 2025-Aug-29 17:13 |
| raku-system-query-0.1.6-r1.apk | 16.7 KiB | 2025-Aug-29 17:13 |
| raku-inline-perl5-doc-0.60_git20250327-r1.apk | 6.3 KiB | 2025-Aug-29 17:13 |
| raku-inline-perl5-0.60_git20250327-r1.apk | 2.1 MiB | 2025-Aug-29 17:13 |
| raku-distribution-builder-makefromjson-doc-0.6-r1.apk | 2.3 KiB | 2025-Aug-29 17:13 |
| raku-distribution-builder-makefromjson-0.6-r1.apk | 41.4 KiB | 2025-Aug-29 17:13 |
| quodlibet-zsh-completion-4.7.1-r0.apk | 2.7 KiB | 2025-Sep-17 03:53 |
| quodlibet-pyc-4.7.1-r0.apk | 1.8 MiB | 2025-Sep-17 03:53 |
| quodlibet-lang-4.7.1-r0.apk | 1.4 MiB | 2025-Sep-17 03:53 |
| quodlibet-doc-4.7.1-r0.apk | 8.7 KiB | 2025-Sep-17 03:53 |
| quodlibet-bash-completion-4.7.1-r0.apk | 4.5 KiB | 2025-Sep-17 03:53 |
| quodlibet-4.7.1-r0.apk | 1.0 MiB | 2025-Sep-17 03:53 |
| quickemu-doc-4.9.7-r0.apk | 24.6 KiB | 2025-Dec-09 20:00 |
| quickemu-4.9.7-r0.apk | 56.2 KiB | 2025-Dec-09 20:00 |
| queercat-1.0.0-r0.apk | 7.4 KiB | 2024-Oct-26 05:49 |
| quakespasm-0.96.3-r0.apk | 502.4 KiB | 2024-Oct-26 05:49 |
| qtpass-doc-1.4.0-r0.apk | 2.1 KiB | 2024-Oct-26 05:49 |
| qtpass-1.4.0-r0.apk | 417.6 KiB | 2024-Oct-26 05:49 |
| qtox-1.18.3-r0.apk | 5.0 MiB | 2025-Nov-30 01:48 |
| qtmir-dev-0.7.2_git20250407-r5.apk | 6.6 KiB | 2025-Dec-18 23:34 |
| qtmir-0.7.2_git20250407-r5.apk | 513.9 KiB | 2025-Dec-18 23:34 |
| qtile-pyc-0.33.0-r0.apk | 857.9 KiB | 2025-Sep-17 19:16 |
| qtile-0.33.0-r0.apk | 468.9 KiB | 2025-Sep-17 19:16 |
| qt6ct-0.11-r2.apk | 190.2 KiB | 2025-Dec-06 01:44 |
| qt6-qtgraphs-dev-6.10.1-r0.apk | 118.4 KiB | 2025-Dec-11 10:32 |
| qt6-qtgraphs-6.10.1-r0.apk | 1.1 MiB | 2025-Dec-11 10:32 |
| qt5ct-dev-1.9-r0.apk | 1.5 KiB | 2025-Nov-19 13:48 |
| qt5ct-1.9-r0.apk | 223.3 KiB | 2025-Nov-19 13:48 |
| qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 3.9 KiB | 2024-Oct-26 05:49 |
| qt-wayland-shell-helpers-0.1.1-r3.apk | 13.8 KiB | 2024-Oct-26 05:49 |
| qt-creator-dbg-18.0.1-r0.apk | 470.5 MiB | 2025-Dec-13 04:33 |
| qt-creator-18.0.1-r0.apk | 48.1 MiB | 2025-Dec-13 04:32 |
| qsynth-doc-1.0.3-r0.apk | 4.4 KiB | 2025-Nov-25 20:02 |
| qsynth-1.0.3-r0.apk | 431.7 KiB | 2025-Nov-25 20:02 |
| qstardict-doc-2.0.2-r1.apk | 10.6 KiB | 2024-Nov-24 12:04 |
| qstardict-2.0.2-r1.apk | 449.1 KiB | 2024-Nov-24 12:04 |
| qsstv-9.5.8-r2.apk | 994.8 KiB | 2024-Oct-26 05:49 |
| qspectrumanalyzer-pyc-2.2.0-r5.apk | 62.3 KiB | 2024-Oct-26 05:49 |
| qspectrumanalyzer-2.2.0-r5.apk | 53.8 KiB | 2024-Oct-26 05:49 |
| qrcodegen-doc-1.8.0-r0.apk | 3.6 KiB | 2025-Nov-30 03:56 |
| qrcodegen-dev-1.8.0-r0.apk | 25.7 KiB | 2025-Nov-30 03:56 |
| qrcodegen-1.8.0-r0.apk | 12.6 KiB | 2025-Nov-30 03:56 |
| qqc2-suru-style-0.20230206-r1.apk | 173.7 KiB | 2024-Oct-26 05:49 |
| qperf-doc-0.4.11-r2.apk | 5.6 KiB | 2025-May-13 06:43 |
| qperf-0.4.11-r2.apk | 34.7 KiB | 2025-May-13 06:43 |
| qpdfview-doc-0.5-r2.apk | 4.2 KiB | 2025-Jan-30 05:18 |
| qpdfview-0.5-r2.apk | 1014.2 KiB | 2025-Jan-30 05:18 |
| qownnotes-lang-25.12.5-r0.apk | 4.9 MiB | 2025-Dec-15 01:17 |
| qownnotes-25.12.5-r0.apk | 2.5 MiB | 2025-Dec-15 01:17 |
| qoiconv-0.0.0_git20230312-r0.apk | 29.7 KiB | 2024-Oct-26 05:49 |
| qoi-dev-0.0.0_git20230312-r0.apk | 6.8 KiB | 2024-Oct-26 05:49 |
| qoi-0.0.0_git20230312-r0.apk | 1.4 KiB | 2024-Oct-26 05:49 |
| qml-box2d-0_git20180406-r0.apk | 142.9 KiB | 2024-Oct-26 05:49 |
| qgis-server-3.44.5-r0.apk | 1.8 MiB | 2025-Dec-06 01:44 |
| qgis-lang-3.44.5-r0.apk | 33.5 MiB | 2025-Dec-06 01:44 |
| qgis-grass-3.44.5-r0.apk | 1.4 MiB | 2025-Dec-06 01:44 |
| qgis-doc-3.44.5-r0.apk | 3.0 KiB | 2025-Dec-06 01:44 |
| qgis-dev-3.44.5-r0.apk | 3.6 MiB | 2025-Dec-06 01:44 |
| qgis-3.44.5-r0.apk | 49.5 MiB | 2025-Dec-06 01:44 |
| qflipper-gui-1.3.3-r1.apk | 1.1 MiB | 2024-Oct-26 05:49 |
| qflipper-1.3.3-r1.apk | 497.8 KiB | 2024-Oct-26 05:49 |
| qdjango-dev-0.6.2-r1.apk | 14.1 KiB | 2024-Oct-26 05:49 |
| qdjango-0.6.2-r1.apk | 99.2 KiB | 2024-Oct-26 05:49 |
| qbittorrent-cli-2.2.0-r5.apk | 5.6 MiB | 2025-Dec-06 01:44 |
| qadwaitadecorations-0.1.7-r1.apk | 47.7 KiB | 2025-Oct-05 20:59 |
| pyradio-pyc-0.9.3.11-r0.apk | 810.3 KiB | 2024-Oct-26 05:49 |
| pyradio-doc-0.9.3.11-r0.apk | 112.7 KiB | 2024-Oct-26 05:49 |
| pyradio-0.9.3.11-r0.apk | 870.8 KiB | 2024-Oct-26 05:49 |
| pypykatz-pyc-0.6.11-r1.apk | 721.1 KiB | 2025-May-29 21:04 |
| pypykatz-0.6.11-r1.apk | 315.4 KiB | 2025-May-29 21:04 |
| pyonji-0.1.0-r11.apk | 2.8 MiB | 2025-Dec-06 01:44 |
| pympress-pyc-1.8.6-r0.apk | 181.8 KiB | 2025-Nov-10 15:22 |
| pympress-lang-1.8.6-r0.apk | 58.8 KiB | 2025-Nov-10 15:22 |
| pympress-doc-1.8.6-r0.apk | 74.5 KiB | 2025-Nov-10 15:22 |
| pympress-1.8.6-r0.apk | 179.5 KiB | 2025-Nov-10 15:22 |
| pyinfra-pyc-3.3.1-r0.apk | 361.0 KiB | 2025-Jul-16 09:27 |
| pyinfra-3.3.1-r0.apk | 192.0 KiB | 2025-Jul-16 09:27 |
| py3-zope-schema-pyc-7.0.1-r3.apk | 61.0 KiB | 2024-Oct-26 05:49 |
| py3-zope-schema-7.0.1-r3.apk | 45.3 KiB | 2024-Oct-26 05:49 |
| py3-zope-i18nmessageid-pyc-8.2-r0.apk | 8.4 KiB | 2025-Dec-25 21:29 |
| py3-zope-i18nmessageid-8.2-r0.apk | 15.3 KiB | 2025-Dec-25 21:29 |
| py3-zope-configuration-pyc-7.0-r0.apk | 49.0 KiB | 2025-Dec-02 09:39 |
| py3-zope-configuration-7.0-r0.apk | 38.7 KiB | 2025-Dec-02 09:39 |
| py3-zipfile2-pyc-0.0.12-r0.apk | 28.5 KiB | 2024-Oct-26 05:49 |
| py3-zipfile2-0.0.12-r0.apk | 45.2 KiB | 2024-Oct-26 05:49 |
| py3-zimscraperlib-pyc-3.4.0-r0.apk | 68.4 KiB | 2025-May-14 21:31 |
| py3-zimscraperlib-3.4.0-r0.apk | 51.7 KiB | 2025-May-14 21:31 |
| py3-youtube-search-pyc-1.6.6-r5.apk | 95.3 KiB | 2025-May-15 03:14 |
| py3-youtube-search-1.6.6-r5.apk | 78.1 KiB | 2025-May-15 03:14 |
| py3-yosys-0.57-r0.apk | 556.6 KiB | 2025-Oct-25 07:03 |
| py3-yara-4.5.4-r0.apk | 18.3 KiB | 2025-Aug-14 00:32 |
| py3-yapsy-pyc-1.12.2-r7.apk | 47.0 KiB | 2024-Oct-26 05:49 |
| py3-yapsy-1.12.2-r7.apk | 32.2 KiB | 2024-Oct-26 05:49 |
| py3-xsdata-pyc-25.7-r0.apk | 392.7 KiB | 2025-Jul-08 05:48 |
| py3-xsdata-25.7-r0.apk | 189.7 KiB | 2025-Jul-08 05:48 |
| py3-xdoctest-1.2.0-r0.apk | 312.5 KiB | 2024-Nov-21 22:31 |
| py3-xapp-2.4.2-r0.apk | 33.6 KiB | 2024-Nov-12 20:33 |
| py3-x-wr-timezone-pyc-2.0.1-r0.apk | 7.1 KiB | 2025-Feb-12 23:30 |
| py3-x-wr-timezone-2.0.1-r0.apk | 11.7 KiB | 2025-Feb-12 23:30 |
| py3-wtf-peewee-pyc-3.0.6-r0.apk | 24.7 KiB | 2024-Oct-26 05:49 |
| py3-wtf-peewee-3.0.6-r0.apk | 12.7 KiB | 2024-Oct-26 05:49 |
| py3-wstools-pyc-0.4.10-r7.apk | 110.4 KiB | 2024-Oct-26 05:49 |
| py3-wstools-0.4.10-r7.apk | 53.2 KiB | 2024-Oct-26 05:49 |
| py3-wsgiprox-pyc-1.5.2-r1.apk | 28.1 KiB | 2024-Oct-26 05:49 |
| py3-wsgiprox-1.5.2-r1.apk | 16.7 KiB | 2024-Oct-26 05:49 |
| py3-winacl-pyc-0.1.9-r1.apk | 131.2 KiB | 2025-May-29 21:04 |
| py3-winacl-0.1.9-r1.apk | 82.7 KiB | 2025-May-29 21:04 |
| py3-wikipedia-pyc-1.4.0-r0.apk | 16.2 KiB | 2025-Sep-14 11:03 |
| py3-wikipedia-doc-1.4.0-r0.apk | 4.1 KiB | 2025-Sep-14 11:03 |
| py3-wikipedia-1.4.0-r0.apk | 12.2 KiB | 2025-Sep-14 11:03 |
| py3-wifi-pyc-0.3.8-r7.apk | 13.7 KiB | 2024-Oct-26 05:49 |
| py3-wifi-0.3.8-r7.apk | 13.0 KiB | 2024-Oct-26 05:49 |
| py3-wgconfig-pyc-1.1.0-r0.apk | 11.7 KiB | 2025-Jan-29 15:49 |
| py3-wgconfig-1.1.0-r0.apk | 22.0 KiB | 2025-Jan-29 15:49 |
| py3-wg-netns-pyc-2.3.1-r1.apk | 13.4 KiB | 2024-Oct-26 05:49 |
| py3-wg-netns-2.3.1-r1.apk | 7.5 KiB | 2024-Oct-26 05:49 |
| py3-webrtcvad-pyc-2.0.10-r1.apk | 2.8 KiB | 2024-Oct-26 05:49 |
| py3-webrtcvad-2.0.10-r1.apk | 25.1 KiB | 2024-Oct-26 05:49 |
| py3-wbdata-pyc-1.0.0-r1.apk | 19.6 KiB | 2024-Oct-26 05:49 |
| py3-wbdata-1.0.0-r1.apk | 18.5 KiB | 2024-Oct-26 05:49 |
| py3-ward-pyc-0.67.0_beta0-r2.apk | 79.5 KiB | 2024-Oct-26 05:49 |
| py3-ward-0.67.0_beta0-r2.apk | 40.7 KiB | 2024-Oct-26 05:49 |
| py3-visitor-pyc-0.1.3-r7.apk | 2.6 KiB | 2024-Oct-26 05:49 |
| py3-visitor-0.1.3-r7.apk | 4.6 KiB | 2024-Oct-26 05:49 |
| py3-virtualenvwrapper-pyc-6.1.1-r1.apk | 11.7 KiB | 2025-Dec-18 20:11 |
| py3-virtualenvwrapper-6.1.1-r1.apk | 21.6 KiB | 2025-Dec-18 20:11 |
| py3-venusian-pyc-3.1.1-r0.apk | 12.5 KiB | 2024-Dec-08 06:14 |
| py3-venusian-3.1.1-r0.apk | 14.4 KiB | 2024-Dec-08 06:14 |
| py3-vdf-pyc-3.4-r2.apk | 16.5 KiB | 2025-Oct-17 07:13 |
| py3-vdf-3.4-r2.apk | 11.2 KiB | 2025-Oct-17 07:13 |
| py3-vatnumber-pyc-1.2-r9.apk | 8.6 KiB | 2024-Oct-26 05:49 |
| py3-vatnumber-1.2-r9.apk | 18.6 KiB | 2024-Oct-26 05:49 |
| py3-utc-pyc-0.0.3-r9.apk | 2.8 KiB | 2024-Oct-26 05:49 |
| py3-utc-0.0.3-r9.apk | 3.5 KiB | 2024-Oct-26 05:49 |
| py3-us-pyc-3.2.0-r0.apk | 15.2 KiB | 2024-Oct-26 05:49 |
| py3-us-3.2.0-r0.apk | 14.3 KiB | 2024-Oct-26 05:49 |
| py3-urlobject-pyc-2.4.3-r9.apk | 24.7 KiB | 2024-Oct-26 05:49 |
| py3-urlobject-2.4.3-r9.apk | 14.8 KiB | 2024-Oct-26 05:49 |
| py3-uptime-pyc-3.0.1-r9.apk | 8.7 KiB | 2024-Oct-26 05:49 |
| py3-uptime-3.0.1-r9.apk | 9.7 KiB | 2024-Oct-26 05:49 |
| py3-unoconv-0.9.0-r4.apk | 25.9 KiB | 2025-May-13 06:43 |
| py3-unidns-pyc-0.0.4-r0.apk | 25.0 KiB | 2025-Nov-03 15:50 |
| py3-unidns-examples-0.0.4-r0.apk | 2.6 KiB | 2025-Nov-03 15:50 |
| py3-unidns-0.0.4-r0.apk | 14.4 KiB | 2025-Nov-03 15:50 |
| py3-unicrypto-pyc-0.0.12-r0.apk | 92.2 KiB | 2025-Nov-03 15:50 |
| py3-unicrypto-0.0.12-r0.apk | 58.6 KiB | 2025-Nov-03 15:50 |
| py3-unicorn-pyc-2.1.4-r0.apk | 92.3 KiB | 2025-Oct-14 23:06 |
| py3-unicorn-2.1.4-r0.apk | 50.6 KiB | 2025-Oct-14 23:06 |
| py3-unearth-pyc-0.18.0-r0.apk | 82.3 KiB | 2025-Oct-14 23:57 |
| py3-unearth-0.18.0-r0.apk | 40.8 KiB | 2025-Oct-14 23:57 |
| py3-uc-micro-py-pyc-1.0.3-r0.apk | 4.6 KiB | 2025-Oct-27 18:01 |
| py3-uc-micro-py-1.0.3-r0.apk | 6.5 KiB | 2025-Oct-27 18:01 |
| py3-uacme-desec-pyc-1.2.1-r0.apk | 6.8 KiB | 2024-Oct-26 05:49 |
| py3-uacme-desec-doc-1.2.1-r0.apk | 2.2 KiB | 2024-Oct-26 05:49 |
| py3-uacme-desec-1.2.1-r0.apk | 5.7 KiB | 2024-Oct-26 05:49 |
| py3-u-msgpack-pyc-2.8.0-r2.apk | 16.2 KiB | 2024-Oct-26 05:49 |
| py3-u-msgpack-2.8.0-r2.apk | 10.9 KiB | 2024-Oct-26 05:49 |
| py3-typing_inspect-pyc-0.9.0-r2.apk | 14.2 KiB | 2024-Oct-26 05:49 |
| py3-typing_inspect-0.9.0-r2.apk | 9.9 KiB | 2024-Oct-26 05:49 |
| py3-twiggy-pyc-0.5.1-r4.apk | 38.6 KiB | 2024-Oct-26 05:49 |
| py3-twiggy-0.5.1-r4.apk | 24.1 KiB | 2024-Oct-26 05:49 |
| py3-truststore-pyc-0.10.1-r0.apk | 25.5 KiB | 2025-Feb-12 23:30 |
| py3-truststore-0.10.1-r0.apk | 17.5 KiB | 2025-Feb-12 23:30 |
| py3-trivup-pyc-0.12.2-r2.apk | 54.9 KiB | 2024-Oct-26 05:49 |
| py3-trivup-0.12.2-r2.apk | 34.0 KiB | 2024-Oct-26 05:49 |
| py3-trimesh-pyc-4.10.0-r0.apk | 789.6 KiB | 2025-Nov-25 14:21 |
| py3-trimesh-4.10.0-r0.apk | 676.2 KiB | 2025-Nov-25 14:21 |
| py3-translationstring-pyc-1.4-r4.apk | 8.8 KiB | 2024-Oct-26 05:49 |
| py3-translationstring-1.4-r4.apk | 9.2 KiB | 2024-Oct-26 05:49 |
| py3-transitions-pyc-0.9.2-r0.apk | 128.8 KiB | 2024-Oct-26 05:49 |
| py3-transitions-0.9.2-r0.apk | 97.7 KiB | 2024-Oct-26 05:49 |
| py3-trakit-pyc-0.2.5-r0.apk | 16.7 KiB | 2025-Nov-24 08:12 |
| py3-trakit-0.2.5-r0.apk | 19.2 KiB | 2025-Nov-24 08:12 |
| py3-tpm2-pytss-pyc-2.3.0-r1.apk | 236.1 KiB | 2024-Oct-26 05:49 |
| py3-tpm2-pytss-2.3.0-r1.apk | 296.3 KiB | 2024-Oct-26 05:49 |
| py3-tokenizers-pyc-0.21.2-r0.apk | 28.9 KiB | 2025-Jun-30 07:43 |
| py3-tokenizers-0.21.2-r0.apk | 1.6 MiB | 2025-Jun-30 07:43 |
| py3-tlslite-ng-pyc-0.7.6-r8.apk | 274.5 KiB | 2024-Oct-26 05:49 |
| py3-tlslite-ng-0.7.6-r8.apk | 178.5 KiB | 2024-Oct-26 05:49 |
| py3-tls_parser-pyc-2.0.2-r0.apk | 17.2 KiB | 2025-Jul-16 06:03 |
| py3-tls_parser-2.0.2-r0.apk | 10.3 KiB | 2025-Jul-16 06:03 |
| py3-timeago-pyc-1.0.16-r0.apk | 27.6 KiB | 2024-Oct-26 05:49 |
| py3-timeago-doc-1.0.16-r0.apk | 2.8 KiB | 2024-Oct-26 05:49 |
| py3-timeago-1.0.16-r0.apk | 23.6 KiB | 2024-Oct-26 05:49 |
| py3-tidalapi-pyc-0.8.4-r0.apk | 90.7 KiB | 2025-Jul-16 06:03 |
| py3-tidalapi-0.8.4-r0.apk | 50.1 KiB | 2025-Jul-16 06:03 |
| py3-ticket-auth-pyc-0.1.4-r9.apk | 6.4 KiB | 2024-Oct-26 05:49 |
| py3-ticket-auth-0.1.4-r9.apk | 5.9 KiB | 2024-Oct-26 05:49 |
| py3-thefuzz-pyc-0.22.1-r1.apk | 8.9 KiB | 2024-Oct-26 05:49 |
| py3-thefuzz-0.22.1-r1.apk | 10.0 KiB | 2024-Oct-26 05:49 |
| py3-textual-pyc-5.3.0-r0.apk | 1.2 MiB | 2025-Oct-27 18:01 |
| py3-textual-5.3.0-r0.apk | 611.8 KiB | 2025-Oct-27 18:01 |
| py3-testresources-pyc-2.0.1-r6.apk | 15.8 KiB | 2024-Oct-26 05:49 |
| py3-testresources-2.0.1-r6.apk | 17.4 KiB | 2024-Oct-26 05:49 |
| py3-teletype-pyc-1.3.4-r3.apk | 20.5 KiB | 2024-Oct-26 05:49 |
| py3-teletype-1.3.4-r3.apk | 15.4 KiB | 2024-Oct-26 05:49 |
| py3-telemetrix-pyc-1.20-r3.apk | 31.0 KiB | 2024-Oct-26 05:49 |
| py3-telemetrix-1.20-r3.apk | 20.9 KiB | 2024-Oct-26 05:49 |
| py3-telegram-text-pyc-0.2.0-r1.apk | 13.0 KiB | 2024-Oct-26 05:49 |
| py3-telegram-text-0.2.0-r1.apk | 9.2 KiB | 2024-Oct-26 05:49 |
| py3-telegram-bot-pyc-22.5-r0.apk | 802.5 KiB | 2025-Nov-20 03:37 |
| py3-telegram-bot-22.5-r0.apk | 497.5 KiB | 2025-Nov-20 03:37 |
| py3-tasklib-pyc-2.5.1-r2.apk | 51.5 KiB | 2024-Oct-26 05:49 |
| py3-tasklib-2.5.1-r2.apk | 23.1 KiB | 2024-Oct-26 05:49 |
| py3-tailer-pyc-0.4.1-r7.apk | 6.6 KiB | 2024-Oct-26 05:49 |
| py3-tailer-0.4.1-r7.apk | 6.9 KiB | 2024-Oct-26 05:49 |
| py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk | 5.6 KiB | 2025-Aug-09 12:11 |
| py3-synapse-auto-accept-invite-1.2.0-r1.apk | 9.8 KiB | 2025-Aug-09 12:11 |
| py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 2.1 KiB | 2024-Oct-26 05:49 |
| py3-swagger-ui-bundle-1.1.0-r1.apk | 2.5 MiB | 2024-Oct-26 05:49 |
| py3-svgpath-pyc-7.0-r0.apk | 23.8 KiB | 2025-Jul-08 15:16 |
| py3-svgpath-7.0-r0.apk | 18.6 KiB | 2025-Jul-08 15:16 |
| py3-svglib-pyc-1.5.1-r0.apk | 42.4 KiB | 2025-Jun-11 04:01 |
| py3-svglib-doc-1.5.1-r0.apk | 2.1 KiB | 2025-Jun-11 04:01 |
| py3-svglib-1.5.1-r0.apk | 29.9 KiB | 2025-Jun-11 04:01 |
| py3-sstash-pyc-0.17-r9.apk | 10.3 KiB | 2024-Oct-26 05:49 |
| py3-sstash-0.17-r9.apk | 7.7 KiB | 2024-Oct-26 05:49 |
| py3-sssd-pyc-2.11.1-r2.apk | 48.6 KiB | 2025-Nov-20 16:33 |
| py3-sssd-2.11.1-r2.apk | 61.5 KiB | 2025-Nov-20 16:33 |
| py3-sqlmodel-pyc-0.0.22-r1.apk | 41.2 KiB | 2025-Jul-24 21:43 |
| py3-sqlmodel-0.0.22-r1.apk | 26.5 KiB | 2025-Jul-24 21:43 |
| py3-spotipy-pyc-2.24.0-r3.apk | 49.5 KiB | 2025-Oct-15 00:07 |
| py3-spotipy-2.24.0-r3.apk | 29.6 KiB | 2025-Oct-15 00:07 |
| py3-spnego-pyc-0.11.2-r0.apk | 219.1 KiB | 2025-Jan-16 16:53 |
| py3-spnego-0.11.2-r0.apk | 117.9 KiB | 2025-Jan-16 16:53 |
| py3-spinners-pyc-0.0.24-r5.apk | 6.2 KiB | 2024-Oct-26 05:49 |
| py3-spinners-0.0.24-r5.apk | 6.1 KiB | 2024-Oct-26 05:49 |
| py3-spin-pyc-0.8-r0.apk | 24.3 KiB | 2024-Oct-26 05:49 |
| py3-spin-0.8-r0.apk | 18.5 KiB | 2024-Oct-26 05:49 |
| py3-spidev-3.6-r1.apk | 14.1 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5.6 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 6.1 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 4.9 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7.3 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk | 18.9 KiB | 2025-Jul-16 06:03 |
| py3-sphinxcontrib-spelling-8.0.1-r0.apk | 13.2 KiB | 2025-Jul-16 06:03 |
| py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk | 5.6 KiB | 2025-May-13 06:43 |
| py3-sphinxcontrib-slide-1.0.0-r4.apk | 4.9 KiB | 2025-May-13 06:43 |
| py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9.3 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7.6 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20.4 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11.3 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 23.8 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-programoutput-0.17-r5.apk | 16.5 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk | 18.6 KiB | 2025-May-08 19:45 |
| py3-sphinxcontrib-plantuml-0.30-r0.apk | 12.0 KiB | 2025-May-08 19:45 |
| py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk | 17.2 KiB | 2025-Jul-16 06:03 |
| py3-sphinxcontrib-phpdomain-0.13.0-r0.apk | 11.3 KiB | 2025-Jul-16 06:03 |
| py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 11.2 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8.7 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk | 16.0 KiB | 2025-May-08 19:45 |
| py3-sphinxcontrib-mermaid-1.0.0-r0.apk | 10.3 KiB | 2025-May-08 19:45 |
| py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 3.1 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-manpage-0.6-r8.apk | 4.1 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10.6 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-lassodomain-0.4-r8.apk | 7.9 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 11.9 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-issuetracker-0.11-r7.apk | 11.1 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 15.0 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10.5 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk | 43.8 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk | 21.3 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 33.8 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4.3 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 18.1 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 15.0 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10.5 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7.6 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 7.9 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6.6 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-git-11.0.0-r7.apk | 17.1 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3.3 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-gist-0.1.0-r9.apk | 3.9 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3.4 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 9.1 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 33.4 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 17.9 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4.2 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5.7 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 9.1 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7.5 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 4.1 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5.7 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 11.9 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-adadomain-0.2-r9.apk | 9.0 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9.3 KiB | 2024-Oct-26 05:49 |
| py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7.6 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2.2 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-readable-1.3.0-r9.apk | 8.8 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5.5 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2.4 MiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2.5 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-epfl-1.1.1-r9.apk | 29.8 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 42.7 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-cloud-1.10.0-r2.apk | 81.3 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1.8 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-bw-0.1.8-r7.apk | 64.7 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2.3 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1.2 MiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 2.0 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-theme-better-0.1.5-r7.apk | 10.5 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-autoapi-pyc-3.6.1-r0.apk | 58.9 KiB | 2025-Oct-11 20:00 |
| py3-sphinx-autoapi-3.6.1-r0.apk | 31.3 KiB | 2025-Oct-11 20:00 |
| py3-sphinx-argparse-pyc-0.5.2-r0.apk | 22.1 KiB | 2024-Oct-26 05:49 |
| py3-sphinx-argparse-0.5.2-r0.apk | 14.2 KiB | 2024-Oct-26 05:49 |
| py3-spake2-pyc-0.9-r0.apk | 43.5 KiB | 2024-Oct-26 05:49 |
| py3-spake2-0.9-r0.apk | 30.1 KiB | 2024-Oct-26 05:49 |
| py3-sortedcollections-pyc-2.1.0-r5.apk | 13.9 KiB | 2024-Oct-26 05:49 |
| py3-sortedcollections-2.1.0-r5.apk | 10.7 KiB | 2024-Oct-26 05:49 |
| py3-solidpython-pyc-1.1.2-r2.apk | 119.0 KiB | 2025-May-08 19:45 |
| py3-solidpython-1.1.2-r2.apk | 77.5 KiB | 2025-May-08 19:45 |
| py3-socketio-pyc-5.13.0-r0.apk | 126.7 KiB | 2025-Sep-06 08:30 |
| py3-socketio-doc-5.13.0-r0.apk | 36.0 KiB | 2025-Sep-06 08:30 |
| py3-socketio-5.13.0-r0.apk | 62.2 KiB | 2025-Sep-06 08:30 |
| py3-soapy_power-pyc-1.6.1-r5.apk | 26.5 KiB | 2024-Oct-26 05:49 |
| py3-soapy_power-1.6.1-r5.apk | 17.5 KiB | 2024-Oct-26 05:49 |
| py3-soappy-pyc-0.52.30-r0.apk | 95.3 KiB | 2024-Dec-03 08:04 |
| py3-soappy-0.52.30-r0.apk | 47.3 KiB | 2024-Dec-03 08:04 |
| py3-snapshottest-pyc-0.6.0-r5.apk | 25.7 KiB | 2024-Oct-26 05:49 |
| py3-snapshottest-0.6.0-r5.apk | 15.1 KiB | 2024-Oct-26 05:49 |
| py3-slixmpp-pyc-1.8.5-r2.apk | 728.2 KiB | 2024-Oct-26 05:49 |
| py3-slixmpp-doc-1.8.5-r2.apk | 5.8 KiB | 2024-Oct-26 05:49 |
| py3-slixmpp-1.8.5-r2.apk | 382.9 KiB | 2024-Oct-26 05:49 |
| py3-slidge-style-parser-pyc-0.1.9-r0.apk | 2.0 KiB | 2025-Apr-12 16:41 |
| py3-slidge-style-parser-0.1.9-r0.apk | 218.5 KiB | 2025-Apr-12 16:41 |
| py3-simplespectral-pyc-1.0.0-r5.apk | 8.1 KiB | 2024-Oct-26 05:49 |
| py3-simplespectral-1.0.0-r5.apk | 7.5 KiB | 2024-Oct-26 05:49 |
| py3-simplesoapy-pyc-1.5.1-r7.apk | 11.8 KiB | 2024-Oct-26 05:49 |
| py3-simplesoapy-1.5.1-r7.apk | 7.9 KiB | 2024-Oct-26 05:49 |
| py3-simplesat-pyc-0.8.2-r0.apk | 156.3 KiB | 2024-Oct-26 05:49 |
| py3-simplesat-0.8.2-r0.apk | 214.0 KiB | 2024-Oct-26 05:49 |
| py3-simplematch-pyc-1.4-r1.apk | 5.8 KiB | 2024-Oct-26 05:49 |
| py3-simplematch-1.4-r1.apk | 8.0 KiB | 2024-Oct-26 05:49 |
| py3-simpleeval-pyc-1.0.3-r0.apk | 16.5 KiB | 2025-Jun-25 04:38 |
| py3-simpleeval-1.0.3-r0.apk | 15.6 KiB | 2025-Jun-25 04:38 |
| py3-simple-websocket-pyc-1.1.0-r0.apk | 22.8 KiB | 2025-Sep-06 08:30 |
| py3-simple-websocket-doc-1.1.0-r0.apk | 2.3 KiB | 2025-Sep-06 08:30 |
| py3-simple-websocket-1.1.0-r0.apk | 10.9 KiB | 2025-Sep-06 08:30 |
| py3-simber-pyc-0.2.6-r5.apk | 16.2 KiB | 2025-May-15 03:14 |
| py3-simber-0.2.6-r5.apk | 11.9 KiB | 2025-May-15 03:14 |
| py3-shodan-pyc-1.31.0-r1.apk | 78.8 KiB | 2024-Oct-26 05:49 |
| py3-shodan-doc-1.31.0-r1.apk | 7.2 KiB | 2024-Oct-26 05:49 |
| py3-shodan-1.31.0-r1.apk | 44.1 KiB | 2024-Oct-26 05:49 |
| py3-sh-pyc-2.1.0-r0.apk | 54.9 KiB | 2024-Nov-02 00:13 |
| py3-sh-2.1.0-r0.apk | 37.8 KiB | 2024-Nov-02 00:13 |
| py3-setuptools-lint-pyc-0.6.0-r9.apk | 6.0 KiB | 2024-Oct-26 05:49 |
| py3-setuptools-lint-0.6.0-r9.apk | 5.4 KiB | 2024-Oct-26 05:49 |
| py3-seqdiag-pyc-3.0.0-r5.apk | 42.1 KiB | 2024-Oct-26 05:49 |
| py3-seqdiag-3.0.0-r5.apk | 2.5 MiB | 2024-Oct-26 05:49 |
| py3-senf-pyc-1.5.0-r0.apk | 32.5 KiB | 2025-Jun-27 11:58 |
| py3-senf-1.5.0-r0.apk | 20.2 KiB | 2025-Jun-27 11:58 |
| py3-scs-pyc-3.2.3-r4.apk | 4.9 KiB | 2024-Oct-26 05:49 |
| py3-scs-3.2.3-r4.apk | 111.5 KiB | 2024-Oct-26 05:49 |
| py3-scrapy-pyc-2.11.1-r1.apk | 481.6 KiB | 2024-Oct-26 05:49 |
| py3-scrapy-2.11.1-r1.apk | 240.0 KiB | 2024-Oct-26 05:49 |
| py3-scour-pyc-0.38.2-r1.apk | 73.3 KiB | 2024-Oct-26 05:49 |
| py3-scour-0.38.2-r1.apk | 56.4 KiB | 2024-Oct-26 05:49 |
| py3-schema-pyc-0.7.7-r0.apk | 20.5 KiB | 2025-Jul-08 01:14 |
| py3-schema-0.7.7-r0.apk | 19.4 KiB | 2025-Jul-08 01:14 |
| py3-rtree-pyc-1.4.1-r0.apk | 48.1 KiB | 2025-Aug-14 19:21 |
| py3-rtree-1.4.1-r0.apk | 26.5 KiB | 2025-Aug-14 19:21 |
| py3-rst2pdf-pyc-0.102-r0.apk | 205.8 KiB | 2025-Jun-11 04:01 |
| py3-rst2pdf-0.102-r0.apk | 154.5 KiB | 2025-Jun-11 04:01 |
| py3-rst2ansi-pyc-0.1.5-r0.apk | 24.0 KiB | 2024-Oct-26 05:49 |
| py3-rst2ansi-doc-0.1.5-r0.apk | 2.2 KiB | 2024-Oct-26 05:49 |
| py3-rst2ansi-0.1.5-r0.apk | 12.2 KiB | 2024-Oct-26 05:49 |
| py3-rst.linker-pyc-2.6.0-r0.apk | 6.6 KiB | 2024-Oct-26 05:49 |
| py3-rst.linker-2.6.0-r0.apk | 6.1 KiB | 2024-Oct-26 05:49 |
| py3-rst-pyc-0.1-r9.apk | 6.1 KiB | 2024-Oct-26 05:49 |
| py3-rst-0.1-r9.apk | 5.6 KiB | 2024-Oct-26 05:49 |
| py3-rpio-pyc-0.10.1-r8.apk | 15.9 KiB | 2024-Oct-26 05:49 |
| py3-rpio-0.10.1-r8.apk | 37.4 KiB | 2024-Oct-26 05:49 |
| py3-rospkg-pyc-1.2.9-r5.apk | 54.1 KiB | 2024-Oct-26 05:49 |
| py3-rospkg-1.2.9-r5.apk | 28.8 KiB | 2024-Oct-26 05:49 |
| py3-rosdistro-pyc-0.9.0-r3.apk | 90.9 KiB | 2024-Oct-26 05:49 |
| py3-rosdistro-0.9.0-r3.apk | 47.0 KiB | 2024-Oct-26 05:49 |
| py3-rofi-pyc-1.0.1-r1.apk | 11.8 KiB | 2025-Aug-09 12:11 |
| py3-rofi-1.0.1-r1.apk | 12.2 KiB | 2025-Aug-09 12:11 |
| py3-rns-pyc-1.0.0-r0.apk | 704.5 KiB | 2025-Sep-24 11:26 |
| py3-rns-1.0.0-r0.apk | 344.2 KiB | 2025-Sep-24 11:26 |
| py3-riotctrl-pyc-0.5.0-r4.apk | 10.7 KiB | 2024-Oct-26 05:49 |
| py3-riotctrl-0.5.0-r4.apk | 13.0 KiB | 2024-Oct-26 05:49 |
| py3-rich-click-pyc-1.7.3-r1.apk | 40.3 KiB | 2024-Oct-26 05:49 |
| py3-rich-click-1.7.3-r1.apk | 30.7 KiB | 2024-Oct-26 05:49 |
| py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12.4 KiB | 2024-Oct-26 05:49 |
| py3-rfc-bibtex-0.3.2-r7.apk | 12.9 KiB | 2024-Oct-26 05:49 |
| py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6.6 KiB | 2024-Oct-26 05:49 |
| py3-requests-wsgi-adapter-0.4.1-r1.apk | 5.5 KiB | 2024-Oct-26 05:49 |
| py3-requests-kerberos-pyc-0.15.0-r0.apk | 10.9 KiB | 2024-Dec-02 02:24 |
| py3-requests-kerberos-0.15.0-r0.apk | 12.1 KiB | 2024-Dec-02 02:24 |
| py3-requests-cache-pyc-1.2.1-r1.apk | 94.2 KiB | 2024-Nov-18 17:46 |
| py3-requests-cache-1.2.1-r1.apk | 49.9 KiB | 2024-Nov-18 17:46 |
| py3-remind-pyc-0.19.2-r0.apk | 22.5 KiB | 2025-Apr-23 02:48 |
| py3-remind-0.19.2-r0.apk | 24.4 KiB | 2025-Apr-23 02:48 |
| py3-redmine-pyc-2.5.0-r0.apk | 53.6 KiB | 2024-Oct-26 05:49 |
| py3-redmine-2.5.0-r0.apk | 36.8 KiB | 2024-Oct-26 05:49 |
| py3-recurring-ical-events-pyc-3.8.0-r0.apk | 49.9 KiB | 2025-Jun-15 12:45 |
| py3-recurring-ical-events-3.8.0-r0.apk | 38.9 KiB | 2025-Jun-15 12:45 |
| py3-recommonmark-pyc-0.7.1-r4.apk | 17.5 KiB | 2024-Oct-26 05:49 |
| py3-recommonmark-0.7.1-r4.apk | 11.7 KiB | 2024-Oct-26 05:49 |
| py3-radon-pyc-6.0.1-r2.apk | 49.5 KiB | 2024-Oct-26 05:49 |
| py3-radon-doc-6.0.1-r2.apk | 5.1 KiB | 2024-Oct-26 05:49 |
| py3-radon-6.0.1-r2.apk | 31.5 KiB | 2024-Oct-26 05:49 |
| py3-rabbit-pyc-1.1.0-r8.apk | 15.5 KiB | 2024-Oct-26 05:49 |
| py3-rabbit-1.1.0-r8.apk | 10.9 KiB | 2024-Oct-26 05:49 |
| py3-queuelib-pyc-1.8.0-r0.apk | 24.5 KiB | 2025-Sep-02 03:14 |
| py3-queuelib-1.8.0-r0.apk | 12.4 KiB | 2025-Sep-02 03:14 |
| py3-quebra-frases-pyc-0.3.7-r1.apk | 7.8 KiB | 2024-Oct-26 05:49 |
| py3-quebra-frases-0.3.7-r1.apk | 8.8 KiB | 2024-Oct-26 05:49 |
| py3-qt.py-pyc-1.3.10-r1.apk | 24.6 KiB | 2024-Oct-26 05:49 |
| py3-qt.py-1.3.10-r1.apk | 32.7 KiB | 2024-Oct-26 05:49 |
| py3-qpageview-pyc-0.6.2-r1.apk | 179.8 KiB | 2024-Oct-26 05:49 |
| py3-qpageview-doc-0.6.2-r1.apk | 56.2 KiB | 2024-Oct-26 05:49 |
| py3-qpageview-0.6.2-r1.apk | 98.0 KiB | 2024-Oct-26 05:49 |
| py3-qgis-3.44.5-r0.apk | 21.7 MiB | 2025-Dec-06 01:44 |
| py3-qdldl-0.1.5-r4.apk | 99.0 KiB | 2024-Oct-26 05:49 |
| py3-qasync-0.19.0-r2.apk | 36.8 KiB | 2024-Oct-26 05:49 |
| py3-pyzor-pyc-1.1.2-r0.apk | 54.0 KiB | 2025-Aug-14 15:26 |
| py3-pyzor-1.1.2-r0.apk | 40.3 KiB | 2025-Aug-14 15:26 |
| py3-pyvcd-pyc-0.4.1-r0.apk | 40.5 KiB | 2024-Nov-12 06:46 |
| py3-pyvcd-0.4.1-r0.apk | 22.7 KiB | 2024-Nov-12 06:46 |
| py3-python-stdnum-pyc-1.20-r0.apk | 293.5 KiB | 2024-Oct-26 05:49 |
| py3-python-stdnum-1.20-r0.apk | 805.7 KiB | 2024-Oct-26 05:49 |
| py3-python-logstash-pyc-0.4.8-r4.apk | 8.4 KiB | 2024-Oct-26 05:49 |
| py3-python-logstash-doc-0.4.8-r4.apk | 2.3 KiB | 2024-Oct-26 05:49 |
| py3-python-logstash-0.4.8-r4.apk | 8.6 KiB | 2024-Oct-26 05:49 |
| py3-python-jwt-pyc-4.1.0-r2.apk | 6.5 KiB | 2025-May-16 06:23 |
| py3-python-jwt-4.1.0-r2.apk | 8.1 KiB | 2025-May-16 06:23 |
| py3-python-iptables-pyc-1.2.0-r1.apk | 67.9 KiB | 2025-Dec-13 00:43 |
| py3-python-iptables-1.2.0-r1.apk | 38.3 KiB | 2025-Dec-13 00:43 |
| py3-python-archive-pyc-0.2-r7.apk | 9.3 KiB | 2024-Oct-26 05:49 |
| py3-python-archive-0.2-r7.apk | 7.4 KiB | 2024-Oct-26 05:49 |
| py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk | 10.5 KiB | 2025-Aug-09 01:02 |
| py3-pytest-textual-snapshot-1.1.0-r0.apk | 9.2 KiB | 2025-Aug-09 01:02 |
| py3-pytest-subprocess-pyc-1.5.2-r0.apk | 24.5 KiB | 2024-Oct-26 05:49 |
| py3-pytest-subprocess-1.5.2-r0.apk | 19.5 KiB | 2024-Oct-26 05:49 |
| py3-pytest-regtest-pyc-2.3.5-r0.apk | 30.3 KiB | 2025-Oct-12 22:33 |
| py3-pytest-regtest-2.3.5-r0.apk | 16.1 KiB | 2025-Oct-12 22:33 |
| py3-pytest-metadata-pyc-3.1.1-r0.apk | 7.9 KiB | 2024-Oct-26 05:49 |
| py3-pytest-metadata-3.1.1-r0.apk | 10.2 KiB | 2024-Oct-26 05:49 |
| py3-pytest-html-pyc-4.1.1-r1.apk | 21.7 KiB | 2024-Oct-26 05:49 |
| py3-pytest-html-4.1.1-r1.apk | 21.6 KiB | 2024-Oct-26 05:49 |
| py3-pytest-home-pyc-0.6.0-r0.apk | 2.8 KiB | 2024-Oct-26 05:49 |
| py3-pytest-home-0.6.0-r0.apk | 4.5 KiB | 2024-Oct-26 05:49 |
| py3-pytest-expect-pyc-1.1.0-r10.apk | 6.9 KiB | 2024-Oct-26 05:49 |
| py3-pytest-expect-1.1.0-r10.apk | 5.9 KiB | 2024-Oct-26 05:49 |
| py3-pytest-datadir-pyc-1.8.0-r0.apk | 5.4 KiB | 2025-Aug-05 23:21 |
| py3-pytest-datadir-1.8.0-r0.apk | 7.1 KiB | 2025-Aug-05 23:21 |
| py3-pyte-pyc-0.8.2-r3.apk | 39.2 KiB | 2025-May-13 06:43 |
| py3-pyte-0.8.2-r3.apk | 29.9 KiB | 2025-May-13 06:43 |
| py3-pytap2-pyc-2.3.0-r0.apk | 6.0 KiB | 2024-Oct-26 05:49 |
| py3-pytap2-doc-2.3.0-r0.apk | 2.8 KiB | 2024-Oct-26 05:49 |
| py3-pytap2-2.3.0-r0.apk | 7.0 KiB | 2024-Oct-26 05:49 |
| py3-pytaglib-pyc-3.0.0-r0.apk | 2.9 KiB | 2025-Jan-27 05:15 |
| py3-pytaglib-3.0.0-r0.apk | 40.4 KiB | 2025-Jan-27 05:15 |
| py3-pysubs2-pyc-1.8.0-r0.apk | 67.7 KiB | 2024-Dec-26 07:09 |
| py3-pysubs2-1.8.0-r0.apk | 35.9 KiB | 2024-Dec-26 07:09 |
| py3-pystache-pyc-0.6.5-r1.apk | 96.6 KiB | 2024-Oct-26 05:49 |
| py3-pystache-0.6.5-r1.apk | 68.1 KiB | 2024-Oct-26 05:49 |
| py3-pysrt-pyc-1.1.2-r5.apk | 22.7 KiB | 2025-May-15 03:14 |
| py3-pysrt-1.1.2-r5.apk | 25.5 KiB | 2025-May-15 03:14 |
| py3-pyspinel-pyc-1.0.3-r1.apk | 63.0 KiB | 2024-Oct-26 05:49 |
| py3-pyspinel-1.0.3-r1.apk | 56.0 KiB | 2024-Oct-26 05:49 |
| py3-pysonic-pyc-1.0.3-r0.apk | 32.2 KiB | 2025-Apr-17 08:18 |
| py3-pysonic-1.0.3-r0.apk | 34.8 KiB | 2025-Apr-17 08:18 |
| py3-pysimplesoap-pyc-1.16.2-r7.apk | 78.0 KiB | 2024-Oct-26 05:49 |
| py3-pysimplesoap-1.16.2-r7.apk | 43.7 KiB | 2024-Oct-26 05:49 |
| py3-pyscreeze-pyc-0.1.29-r3.apk | 14.2 KiB | 2024-Oct-26 05:49 |
| py3-pyscreeze-0.1.29-r3.apk | 14.1 KiB | 2024-Oct-26 05:49 |
| py3-pyroma-pyc-4.2-r0.apk | 25.9 KiB | 2024-Oct-26 05:49 |
| py3-pyroma-4.2-r0.apk | 21.8 KiB | 2024-Oct-26 05:49 |
| py3-pyrebase-pyc-3.0.27-r5.apk | 17.5 KiB | 2024-Oct-26 05:49 |
| py3-pyrebase-3.0.27-r5.apk | 9.6 KiB | 2024-Oct-26 05:49 |
| py3-pyqrcode-pyc-1.2.1-r0.apk | 47.1 KiB | 2024-Oct-26 05:49 |
| py3-pyqrcode-doc-1.2.1-r0.apk | 4.3 KiB | 2024-Oct-26 05:49 |
| py3-pyqrcode-1.2.1-r0.apk | 36.6 KiB | 2024-Oct-26 05:49 |
| py3-pypubsub-pyc-4.0.3-r0.apk | 89.8 KiB | 2024-Oct-26 05:49 |
| py3-pypubsub-doc-4.0.3-r0.apk | 2.1 KiB | 2024-Oct-26 05:49 |
| py3-pypubsub-4.0.3-r0.apk | 52.4 KiB | 2024-Oct-26 05:49 |
| py3-pyparted-pyc-3.13.0-r1.apk | 42.1 KiB | 2024-Oct-26 05:49 |
| py3-pyparted-3.13.0-r1.apk | 78.7 KiB | 2024-Oct-26 05:49 |
| py3-pynest2d-5.2.2-r5.apk | 260.4 KiB | 2025-Feb-06 13:49 |
| py3-pymupdf-pyc-1.26.4-r2.apk | 500.2 KiB | 2025-Dec-20 05:04 |
| py3-pymupdf-1.26.4-r2.apk | 318.8 KiB | 2025-Dec-20 05:04 |
| py3-pymsteams-pyc-0.2.5-r0.apk | 6.5 KiB | 2025-Feb-17 21:09 |
| py3-pymsteams-0.2.5-r0.apk | 12.3 KiB | 2025-Feb-17 21:09 |
| py3-pymsgbox-pyc-1.0.9-r5.apk | 9.8 KiB | 2024-Oct-26 05:49 |
| py3-pymsgbox-1.0.9-r5.apk | 9.2 KiB | 2024-Oct-26 05:49 |
| py3-pymeta3-pyc-0.5.1-r6.apk | 31.5 KiB | 2024-Oct-26 05:49 |
| py3-pymeta3-0.5.1-r6.apk | 16.7 KiB | 2024-Oct-26 05:49 |
| py3-pymata4-pyc-1.15-r4.apk | 30.8 KiB | 2024-Oct-26 05:49 |
| py3-pymata4-1.15-r4.apk | 23.0 KiB | 2024-Oct-26 05:49 |
| py3-pymata-pyc-2.20-r4.apk | 29.3 KiB | 2024-Oct-26 05:49 |
| py3-pymata-2.20-r4.apk | 22.5 KiB | 2024-Oct-26 05:49 |
| py3-pymaging-pyc-0.0.20130908-r10.apk | 31.7 KiB | 2024-Oct-26 05:49 |
| py3-pymaging-png-pyc-0.0.20130727-r10.apk | 51.7 KiB | 2024-Oct-26 05:49 |
| py3-pymaging-png-0.0.20130727-r10.apk | 35.0 KiB | 2024-Oct-26 05:49 |
| py3-pymaging-0.0.20130908-r10.apk | 18.0 KiB | 2024-Oct-26 05:49 |
| py3-pylru-pyc-1.2.1-r1.apk | 8.9 KiB | 2024-Oct-26 05:49 |
| py3-pylru-1.2.1-r1.apk | 16.6 KiB | 2024-Oct-26 05:49 |
| py3-pyisbn-pyc-1.3.1-r3.apk | 9.4 KiB | 2024-Oct-26 05:49 |
| py3-pyisbn-1.3.1-r3.apk | 20.4 KiB | 2024-Oct-26 05:49 |
| py3-pyinstrument-pyc-5.1.1-r0.apk | 100.5 KiB | 2025-Aug-13 14:23 |
| py3-pyinstrument-5.1.1-r0.apk | 109.5 KiB | 2025-Aug-13 14:23 |
| py3-pyinstaller-pyc-6.6.0-r0.apk | 500.9 KiB | 2024-Oct-26 05:49 |
| py3-pyinstaller-6.6.0-r0.apk | 1.9 MiB | 2024-Oct-26 05:49 |
| py3-pygtail-pyc-0.14.0-r3.apk | 10.1 KiB | 2024-Oct-26 05:49 |
| py3-pygtail-0.14.0-r3.apk | 15.0 KiB | 2024-Oct-26 05:49 |
| py3-pygpgme-pyc-0.3.1-r9.apk | 5.1 KiB | 2024-Oct-26 05:49 |
| py3-pygpgme-0.3.1-r9.apk | 38.0 KiB | 2024-Oct-26 05:49 |
| py3-pyglm-2.7.3-r0.apk | 1.2 MiB | 2025-Feb-17 21:09 |
| py3-pyglet-pyc-2.1.5-r1.apk | 1.6 MiB | 2025-Aug-27 23:45 |
| py3-pyglet-2.1.5-r1.apk | 889.9 KiB | 2025-Aug-27 23:45 |
| py3-pygfm-pyc-2.0.0-r2.apk | 13.2 KiB | 2024-Oct-26 05:49 |
| py3-pygfm-2.0.0-r2.apk | 12.7 KiB | 2024-Oct-26 05:49 |
| py3-pygelbooru-pyc-1.0.0-r0.apk | 11.5 KiB | 2025-Jul-30 13:28 |
| py3-pygelbooru-1.0.0-r0.apk | 20.4 KiB | 2025-Jul-30 13:28 |
| py3-pydes-pyc-2.0.1-r5.apk | 13.1 KiB | 2024-Oct-26 05:49 |
| py3-pydes-doc-2.0.1-r5.apk | 3.6 KiB | 2024-Oct-26 05:49 |
| py3-pydes-2.0.1-r5.apk | 10.7 KiB | 2024-Oct-26 05:49 |
| py3-pycosat-0.6.6-r2.apk | 47.0 KiB | 2024-Oct-26 05:49 |
| py3-pycolorterm-pyc-0.2.1-r6.apk | 3.7 KiB | 2024-Oct-26 05:49 |
| py3-pycolorterm-0.2.1-r6.apk | 5.5 KiB | 2024-Oct-26 05:49 |
| py3-pycaption-2.2.15-r0.apk | 360.1 KiB | 2024-Oct-26 05:49 |
| py3-pybars3-pyc-0.9.7-r6.apk | 16.8 KiB | 2024-Oct-26 05:49 |
| py3-pybars3-0.9.7-r6.apk | 14.8 KiB | 2024-Oct-26 05:49 |
| py3-pyautogui-pyc-0.9.53-r5.apk | 45.3 KiB | 2024-Oct-26 05:49 |
| py3-pyautogui-0.9.53-r5.apk | 35.1 KiB | 2024-Oct-26 05:49 |
| py3-pyatem-pyc-0.5.0-r4.apk | 91.6 KiB | 2024-Oct-26 05:49 |
| py3-pyatem-0.5.0-r4.apk | 52.5 KiB | 2024-Oct-26 05:49 |
| py3-py-radix-pyc-0.10.0-r10.apk | 10.6 KiB | 2024-Oct-26 05:49 |
| py3-py-radix-0.10.0-r10.apk | 20.2 KiB | 2024-Oct-26 05:49 |
| py3-pure_protobuf-pyc-3.1.2-r0.apk | 37.7 KiB | 2024-Oct-26 05:49 |
| py3-pure_protobuf-3.1.2-r0.apk | 21.1 KiB | 2024-Oct-26 05:49 |
| py3-proxmoxer-pyc-2.2.0-r0.apk | 27.7 KiB | 2024-Dec-16 20:36 |
| py3-proxmoxer-2.2.0-r0.apk | 16.9 KiB | 2024-Dec-16 20:36 |
| py3-protego-pyc-0.3.1-r0.apk | 11.6 KiB | 2024-Dec-01 05:31 |
| py3-protego-0.3.1-r0.apk | 9.3 KiB | 2024-Dec-01 05:31 |
| py3-proglog-pyc-0.1.10-r2.apk | 9.9 KiB | 2024-Oct-26 05:49 |
| py3-proglog-0.1.10-r2.apk | 7.4 KiB | 2024-Oct-26 05:49 |
| py3-print-color-pyc-0.4.6-r0.apk | 5.0 KiB | 2024-Oct-26 05:49 |
| py3-print-color-doc-0.4.6-r0.apk | 2.6 KiB | 2024-Oct-26 05:49 |
| py3-print-color-0.4.6-r0.apk | 8.9 KiB | 2024-Oct-26 05:49 |
| py3-prefixed-pyc-0.9.0-r0.apk | 8.6 KiB | 2025-Aug-28 19:50 |
| py3-prefixed-0.9.0-r0.apk | 14.4 KiB | 2025-Aug-28 19:50 |
| py3-prctl-pyc-1.8.1-r0.apk | 7.2 KiB | 2025-Oct-20 22:50 |
| py3-prctl-1.8.1-r0.apk | 13.2 KiB | 2025-Oct-20 22:50 |
| py3-pprintpp-pyc-0.4.0-r1.apk | 16.3 KiB | 2024-Oct-26 05:49 |
| py3-pprintpp-0.4.0-r1.apk | 13.9 KiB | 2024-Oct-26 05:49 |
| py3-ppk2-api-pyc-0.9.2-r0.apk | 16.5 KiB | 2024-Dec-01 05:52 |
| py3-ppk2-api-0.9.2-r0.apk | 16.5 KiB | 2024-Dec-01 05:52 |
| py3-poppler-qt5-21.3.0-r2.apk | 136.4 KiB | 2025-Jan-30 05:18 |
| py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk | 26.4 KiB | 2025-Jul-26 08:41 |
| py3-poetry-dynamic-versioning-1.9.1-r0.apk | 20.6 KiB | 2025-Jul-26 08:41 |
| py3-pockethernet-pyc-0.7.0-r4.apk | 25.1 KiB | 2024-Oct-26 05:49 |
| py3-pockethernet-0.7.0-r4.apk | 14.8 KiB | 2024-Oct-26 05:49 |
| py3-pltable-pyc-1.1.0-r1.apk | 33.5 KiB | 2024-Nov-13 15:54 |
| py3-pltable-1.1.0-r1.apk | 18.6 KiB | 2024-Nov-13 15:54 |
| py3-plotly-5.24.1-r2.apk | 20.5 MiB | 2025-Apr-19 07:18 |
| py3-playsound-pyc-1.3.0-r1.apk | 8.5 KiB | 2024-Oct-26 05:49 |
| py3-playsound-1.3.0-r1.apk | 6.8 KiB | 2024-Oct-26 05:49 |
| py3-piper-tts-2023.11.14.2-r14.apk | 40.9 KiB | 2025-Oct-15 21:57 |
| py3-piper-phonemize-pyc-2023.11.14.4-r9.apk | 3.4 KiB | 2025-Oct-15 21:57 |
| py3-piper-phonemize-2023.11.14.4-r9.apk | 137.5 KiB | 2025-Oct-15 21:57 |
| py3-pip-system-certs-pyc-4.0-r1.apk | 4.6 KiB | 2024-Oct-26 05:49 |
| py3-pip-system-certs-4.0-r1.apk | 7.0 KiB | 2024-Oct-26 05:49 |
| py3-pillow_heif-pyc-0.18.0-r0.apk | 35.5 KiB | 2024-Oct-26 05:49 |
| py3-pillow_heif-0.18.0-r0.apk | 43.7 KiB | 2024-Oct-26 05:49 |
| py3-pickle-secure-pyc-0.99.9-r1.apk | 5.3 KiB | 2024-Oct-26 05:49 |
| py3-pickle-secure-0.99.9-r1.apk | 7.5 KiB | 2024-Oct-26 05:49 |
| py3-piccata-pyc-2.0.3-r1.apk | 34.2 KiB | 2024-Oct-26 05:49 |
| py3-piccata-2.0.3-r1.apk | 20.1 KiB | 2024-Oct-26 05:49 |
| py3-phx-class-registry-pyc-5.0.0-r0.apk | 17.4 KiB | 2024-Oct-26 05:49 |
| py3-phx-class-registry-doc-5.0.0-r0.apk | 2.2 KiB | 2024-Oct-26 05:49 |
| py3-phx-class-registry-5.0.0-r0.apk | 13.1 KiB | 2024-Oct-26 05:49 |
| py3-phpserialize-pyc-1.3-r8.apk | 10.7 KiB | 2024-Oct-26 05:49 |
| py3-phpserialize-1.3-r8.apk | 8.8 KiB | 2024-Oct-26 05:49 |
| py3-pep8-naming-pyc-0.14.1-r0.apk | 13.2 KiB | 2024-Oct-26 05:49 |
| py3-pep8-naming-0.14.1-r0.apk | 9.8 KiB | 2024-Oct-26 05:49 |
| py3-pelican-pyc-4.9.1-r2.apk | 146.9 KiB | 2024-Oct-26 05:49 |
| py3-pelican-4.9.1-r2.apk | 233.7 KiB | 2024-Oct-26 05:49 |
| py3-pdoc-pyc-15.0.4-r0.apk | 169.0 KiB | 2025-Oct-13 06:20 |
| py3-pdoc-15.0.4-r0.apk | 132.8 KiB | 2025-Oct-13 06:20 |
| py3-pdal-pyc-3.4.5-r1.apk | 13.1 KiB | 2025-Jun-22 06:30 |
| py3-pdal-3.4.5-r1.apk | 170.3 KiB | 2025-Jun-22 06:30 |
| py3-pcbnewtransition-pyc-0.5.2-r0.apk | 9.9 KiB | 2025-Jul-16 06:03 |
| py3-pcbnewtransition-0.5.2-r0.apk | 7.9 KiB | 2025-Jul-16 06:03 |
| py3-pbkdf2-pyc-1.3-r7.apk | 7.1 KiB | 2024-Oct-26 05:49 |
| py3-pbkdf2-1.3-r7.apk | 6.3 KiB | 2024-Oct-26 05:49 |
| py3-pathvalidate-pyc-3.3.1-r0.apk | 33.6 KiB | 2025-Jul-16 06:03 |
| py3-pathvalidate-3.3.1-r0.apk | 19.1 KiB | 2025-Jul-16 06:03 |
| py3-pam-pyc-2.0.2-r2.apk | 12.9 KiB | 2024-Oct-26 05:49 |
| py3-pam-2.0.2-r2.apk | 11.2 KiB | 2024-Oct-26 05:49 |
| py3-padacioso-pyc-0.2.1-r0.apk | 12.2 KiB | 2024-Oct-26 05:49 |
| py3-padacioso-0.2.1-r0.apk | 11.4 KiB | 2024-Oct-26 05:49 |
| py3-pacparser-pyc-1.4.5-r1.apk | 4.0 KiB | 2024-Oct-26 05:49 |
| py3-pacparser-1.4.5-r1.apk | 419.3 KiB | 2024-Oct-26 05:49 |
| py3-owslib-pyc-0.35.0-r0.apk | 424.9 KiB | 2025-Nov-03 06:20 |
| py3-owslib-0.35.0-r0.apk | 195.3 KiB | 2025-Nov-03 06:20 |
| py3-ovos-workshop-pyc-7.0.6-r0.apk | 165.5 KiB | 2025-Jul-16 06:03 |
| py3-ovos-workshop-7.0.6-r0.apk | 92.2 KiB | 2025-Jul-16 06:03 |
| py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk | 4.4 KiB | 2025-May-08 19:45 |
| py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk | 4.4 KiB | 2025-May-08 19:45 |
| py3-ovos-utils-pyc-0.8.1-r0.apk | 130.3 KiB | 2025-Jul-16 06:03 |
| py3-ovos-utils-0.8.1-r0.apk | 72.6 KiB | 2025-Jul-16 06:03 |
| py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk | 5.4 KiB | 2025-May-08 19:45 |
| py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9.4 KiB | 2025-May-08 19:45 |
| py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 4.1 KiB | 2024-Oct-26 05:49 |
| py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8.3 KiB | 2024-Oct-26 05:49 |
| py3-ovos-plugin-manager-pyc-1.0.3-r0.apk | 166.8 KiB | 2025-Jul-16 06:03 |
| py3-ovos-plugin-manager-1.0.3-r0.apk | 86.5 KiB | 2025-Jul-16 06:03 |
| py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk | 10.1 KiB | 2025-Jul-16 06:03 |
| py3-ovos-phal-plugin-system-1.3.3-r0.apk | 10.9 KiB | 2025-Jul-16 06:03 |
| py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9.7 KiB | 2025-May-08 19:45 |
| py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 12.2 KiB | 2025-May-08 19:45 |
| py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk | 11.3 KiB | 2025-May-08 19:45 |
| py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 95.9 KiB | 2025-May-08 19:45 |
| py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4.3 KiB | 2025-May-08 19:45 |
| py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4.3 KiB | 2025-May-08 19:45 |
| py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk | 5.1 KiB | 2025-Jul-16 06:03 |
| py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk | 8.8 KiB | 2025-Jul-16 06:03 |
| py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk | 3.9 KiB | 2025-Jul-16 06:03 |
| py3-ovos-ocp-rss-plugin-0.1.1-r0.apk | 8.2 KiB | 2025-Jul-16 06:03 |
| py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk | 8.9 KiB | 2025-Jul-16 06:03 |
| py3-ovos-ocp-news-plugin-0.1.1-r0.apk | 11.1 KiB | 2025-Jul-16 06:03 |
| py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3.4 KiB | 2025-Jun-05 00:06 |
| py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 7.8 KiB | 2025-Jun-05 00:06 |
| py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 103.6 KiB | 2024-Oct-26 05:49 |
| py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 47.0 KiB | 2024-Oct-26 05:49 |
| py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 85.7 KiB | 2025-May-08 19:45 |
| py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 525.9 KiB | 2025-May-08 19:45 |
| py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk | 4.8 KiB | 2025-Jul-16 06:03 |
| py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk | 8.9 KiB | 2025-Jul-16 06:03 |
| py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 435.5 KiB | 2024-Oct-26 05:49 |
| py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 357.8 KiB | 2024-Oct-26 05:49 |
| py3-ovos-config-pyc-2.1.1-r0.apk | 34.8 KiB | 2025-Jul-16 06:03 |
| py3-ovos-config-2.1.1-r0.apk | 47.2 KiB | 2025-Jul-16 06:03 |
| py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 162.2 KiB | 2025-May-26 21:37 |
| py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 100.5 KiB | 2025-May-26 21:37 |
| py3-ovos-bus-client-pyc-1.3.4-r0.apk | 89.0 KiB | 2025-Apr-27 22:40 |
| py3-ovos-bus-client-1.3.4-r0.apk | 50.0 KiB | 2025-Apr-27 22:40 |
| py3-ovos-backend-client-pyc-1.0.0-r0.apk | 90.6 KiB | 2024-Nov-25 21:41 |
| py3-ovos-backend-client-1.0.0-r0.apk | 45.2 KiB | 2024-Nov-25 21:41 |
| py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8.2 KiB | 2025-May-08 19:45 |
| py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 9.8 KiB | 2025-May-08 19:45 |
| py3-osqp-pyc-0.6.2-r6.apk | 76.5 KiB | 2024-Oct-26 05:49 |
| py3-osqp-dev-0.6.2-r6.apk | 49.0 KiB | 2024-Oct-26 05:49 |
| py3-osqp-0.6.2-r6.apk | 110.3 KiB | 2024-Oct-26 05:49 |
| py3-orderedmultidict-pyc-1.0.1-r7.apk | 17.2 KiB | 2024-Oct-26 05:49 |
| py3-orderedmultidict-1.0.1-r7.apk | 11.9 KiB | 2024-Oct-26 05:49 |
| py3-openwisp-utils-pyc-1.0.4-r4.apk | 42.2 KiB | 2024-Oct-26 05:49 |
| py3-openwisp-utils-1.0.4-r4.apk | 497.6 KiB | 2024-Oct-26 05:49 |
| py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10.4 KiB | 2024-Oct-26 05:49 |
| py3-openssh-wrapper-0.5_git20130425-r4.apk | 8.2 KiB | 2024-Oct-26 05:49 |
| py3-opendht-3.1.11-r0.apk | 150.9 KiB | 2025-Jan-28 05:57 |
| py3-openapi-codec-pyc-1.3.2-r9.apk | 11.7 KiB | 2024-Oct-26 05:49 |
| py3-openapi-codec-1.3.2-r9.apk | 7.6 KiB | 2024-Oct-26 05:49 |
| py3-okonomiyaki-pyc-2.0.0-r1.apk | 243.1 KiB | 2025-Oct-15 03:45 |
| py3-okonomiyaki-2.0.0-r1.apk | 7.9 MiB | 2025-Oct-15 03:45 |
| py3-nwdiag-pyc-3.0.0-r3.apk | 77.8 KiB | 2024-Oct-26 05:49 |
| py3-nwdiag-3.0.0-r3.apk | 4.9 MiB | 2024-Oct-26 05:49 |
| py3-numpy-stl-pyc-3.2.0-r0.apk | 27.9 KiB | 2024-Dec-01 11:05 |
| py3-numpy-stl-3.2.0-r0.apk | 21.0 KiB | 2024-Dec-01 11:05 |
| py3-ntplib-pyc-0.4.0-r5.apk | 8.6 KiB | 2024-Oct-26 05:49 |
| py3-ntplib-0.4.0-r5.apk | 7.4 KiB | 2024-Oct-26 05:49 |
| py3-nptyping-pyc-2.5.0-r3.apk | 32.0 KiB | 2024-Oct-26 05:49 |
| py3-nptyping-2.5.0-r3.apk | 21.3 KiB | 2024-Oct-26 05:49 |
| py3-notifymail-pyc-1.1-r8.apk | 5.7 KiB | 2024-Oct-26 05:49 |
| py3-notifymail-1.1-r8.apk | 7.6 KiB | 2024-Oct-26 05:49 |
| py3-nose-timer-pyc-1.0.1-r6.apk | 9.9 KiB | 2024-Oct-26 05:49 |
| py3-nose-timer-1.0.1-r6.apk | 9.4 KiB | 2024-Oct-26 05:49 |
| py3-nmap-pyc-0.7.1-r4.apk | 25.4 KiB | 2024-Oct-26 05:49 |
| py3-nmap-0.7.1-r4.apk | 20.4 KiB | 2024-Oct-26 05:49 |
| py3-nikola-pyc-8.3.3-r0.apk | 545.4 KiB | 2025-Oct-11 19:47 |
| py3-nikola-doc-8.3.3-r0.apk | 61.3 KiB | 2025-Oct-11 19:47 |
| py3-nikola-8.3.3-r0.apk | 4.3 MiB | 2025-Oct-11 19:47 |
| py3-netmiko-pyc-4.6.0-r0.apk | 372.3 KiB | 2025-Aug-14 00:31 |
| py3-netmiko-4.6.0-r0.apk | 191.9 KiB | 2025-Aug-14 00:31 |
| py3-netifaces2-pyc-0.0.22-r0.apk | 9.2 KiB | 2024-Oct-26 05:49 |
| py3-netifaces2-0.0.22-r0.apk | 201.8 KiB | 2024-Oct-26 05:49 |
| py3-ncclient-pyc-0.6.13-r5.apk | 106.5 KiB | 2024-Oct-26 05:49 |
| py3-ncclient-0.6.13-r5.apk | 68.2 KiB | 2024-Oct-26 05:49 |
| py3-natpmp-pyc-1.3.2-r1.apk | 9.8 KiB | 2024-Oct-26 05:49 |
| py3-natpmp-1.3.2-r1.apk | 9.4 KiB | 2024-Oct-26 05:49 |
| py3-mss-10.0.0-r0.apk | 50.6 KiB | 2024-Nov-14 21:57 |
| py3-msldap-pyc-0.5.15-r2.apk | 329.8 KiB | 2025-Oct-18 00:58 |
| py3-msldap-examples-0.5.15-r2.apk | 18.4 KiB | 2025-Oct-18 00:58 |
| py3-msldap-0.5.15-r2.apk | 126.6 KiB | 2025-Oct-18 00:58 |
| py3-moviepy-pyc-1.0.3-r6.apk | 155.5 KiB | 2024-Oct-26 05:49 |
| py3-moviepy-1.0.3-r6.apk | 94.5 KiB | 2024-Oct-26 05:49 |
| py3-more-properties-pyc-1.1.1-r3.apk | 8.2 KiB | 2024-Oct-26 05:49 |
| py3-more-properties-1.1.1-r3.apk | 7.4 KiB | 2024-Oct-26 05:49 |
| py3-mopidy-tidal-pyc-0.3.2-r6.apk | 35.7 KiB | 2024-Oct-26 05:49 |
| py3-mopidy-tidal-0.3.2-r6.apk | 24.8 KiB | 2024-Oct-26 05:49 |
| py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk | 38.8 KiB | 2025-Jan-24 01:33 |
| py3-mopidy-spotify-5.0.0_alpha3-r0.apk | 23.6 KiB | 2025-Jan-24 01:33 |
| py3-mopidy-mpd-pyc-3.3.0-r4.apk | 73.8 KiB | 2024-Oct-26 05:49 |
| py3-mopidy-mpd-3.3.0-r4.apk | 46.0 KiB | 2024-Oct-26 05:49 |
| py3-mopidy-local-pyc-3.3.0-r0.apk | 34.1 KiB | 2025-Jan-04 00:52 |
| py3-mopidy-local-3.3.0-r0.apk | 27.8 KiB | 2025-Jan-04 00:52 |
| py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 36.7 KiB | 2024-Oct-26 05:49 |
| py3-mopidy-jellyfin-1.0.4-r4.apk | 24.5 KiB | 2024-Oct-26 05:49 |
| py3-modern_colorthief-pyc-0.1.7-r0.apk | 2.6 KiB | 2025-Jun-17 03:03 |
| py3-modern_colorthief-0.1.7-r0.apk | 767.2 KiB | 2025-Jun-17 03:03 |
| py3-modbus-tk-pyc-1.1.1-r4.apk | 48.3 KiB | 2024-Oct-26 05:49 |
| py3-modbus-tk-1.1.1-r4.apk | 24.8 KiB | 2024-Oct-26 05:49 |
| py3-mnemonic-pyc-0.21-r0.apk | 9.7 KiB | 2024-Oct-26 05:49 |
| py3-mnemonic-doc-0.21-r0.apk | 2.4 KiB | 2024-Oct-26 05:49 |
| py3-mnemonic-0.21-r0.apk | 95.0 KiB | 2024-Oct-26 05:49 |
| py3-mistune1-pyc-0.8.4-r6.apk | 21.5 KiB | 2025-Nov-10 03:53 |
| py3-mistune1-0.8.4-r6.apk | 14.4 KiB | 2025-Nov-10 03:53 |
| py3-mistletoe-pyc-1.4.0-r0.apk | 93.0 KiB | 2025-Mar-19 20:46 |
| py3-mistletoe-1.4.0-r0.apk | 44.6 KiB | 2025-Mar-19 20:46 |
| py3-minio-pyc-7.2.18-r0.apk | 155.1 KiB | 2025-Nov-10 12:18 |
| py3-minio-7.2.18-r0.apk | 74.9 KiB | 2025-Nov-10 12:18 |
| py3-minikerberos-pyc-0.4.9-r0.apk | 287.3 KiB | 2025-Nov-03 15:50 |
| py3-minikerberos-examples-0.4.9-r0.apk | 17.4 KiB | 2025-Nov-03 15:50 |
| py3-minikerberos-0.4.9-r0.apk | 123.2 KiB | 2025-Nov-03 15:50 |
| py3-minidump-pyc-0.0.24-r1.apk | 129.1 KiB | 2025-May-29 21:04 |
| py3-minidump-0.0.24-r1.apk | 63.5 KiB | 2025-May-29 21:04 |
| py3-minidb-pyc-2.0.8-r0.apk | 23.4 KiB | 2024-Nov-14 03:59 |
| py3-minidb-2.0.8-r0.apk | 10.1 KiB | 2024-Nov-14 03:59 |
| py3-milc-pyc-1.9.1-r0.apk | 41.5 KiB | 2025-Jan-26 01:04 |
| py3-milc-1.9.1-r0.apk | 26.0 KiB | 2025-Jan-26 01:04 |
| py3-migen-pyc-0.9.2-r2.apk | 295.0 KiB | 2024-Oct-26 05:49 |
| py3-migen-0.9.2-r2.apk | 142.6 KiB | 2024-Oct-26 05:49 |
| py3-meshtastic-2.7.2-r0.apk | 539.8 KiB | 2025-Sep-16 22:46 |
| py3-mbedtls-pyc-2.10.1-r3.apk | 27.0 KiB | 2025-May-29 21:04 |
| py3-mbedtls-2.10.1-r3.apk | 952.4 KiB | 2025-May-29 21:04 |
| py3-marshmallow-pyc-3.26.1-r0.apk | 84.9 KiB | 2025-Feb-22 07:47 |
| py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4.4 KiB | 2024-Oct-26 05:49 |
| py3-marshmallow-enum-1.5.1-r7.apk | 5.3 KiB | 2024-Oct-26 05:49 |
| py3-marshmallow-3.26.1-r0.apk | 47.5 KiB | 2025-Feb-22 07:47 |
| py3-markdownify-pyc-1.2.2-r0.apk | 18.2 KiB | 2025-Nov-28 01:00 |
| py3-markdownify-1.2.2-r0.apk | 16.2 KiB | 2025-Nov-28 01:00 |
| py3-markdown2-pyc-2.5.0-r0.apk | 75.5 KiB | 2024-Oct-26 05:49 |
| py3-markdown2-2.5.0-r0.apk | 47.2 KiB | 2024-Oct-26 05:49 |
| py3-marisa-trie-1.3.1-r0.apk | 131.6 KiB | 2025-Aug-29 18:32 |
| py3-mapbox-earcut-1.0.1-r2.apk | 60.0 KiB | 2024-Oct-26 05:49 |
| py3-manuel-pyc-1.13.0-r1.apk | 25.7 KiB | 2025-Oct-15 00:06 |
| py3-manuel-1.13.0-r1.apk | 39.4 KiB | 2025-Oct-15 00:06 |
| py3-mando-pyc-0.8.2-r0.apk | 35.3 KiB | 2025-Dec-18 20:11 |
| py3-mando-doc-0.8.2-r0.apk | 4.2 KiB | 2025-Dec-18 20:11 |
| py3-mando-0.8.2-r0.apk | 21.6 KiB | 2025-Dec-18 20:11 |
| py3-maidenhead-pyc-1.8.0-r0.apk | 8.0 KiB | 2025-Aug-11 06:05 |
| py3-maidenhead-doc-1.8.0-r0.apk | 3.7 KiB | 2025-Aug-11 06:05 |
| py3-maidenhead-1.8.0-r0.apk | 7.9 KiB | 2025-Aug-11 06:05 |
| py3-m2crypto-pyc-0.41.0-r2.apk | 122.4 KiB | 2024-Oct-26 05:49 |
| py3-m2crypto-0.41.0-r2.apk | 200.3 KiB | 2024-Oct-26 05:49 |
| py3-lzo-pyc-1.16-r1.apk | 1.9 KiB | 2024-Oct-26 05:49 |
| py3-lzo-1.16-r1.apk | 16.7 KiB | 2024-Oct-26 05:49 |
| py3-ly-pyc-0.9.9-r0.apk | 352.0 KiB | 2025-Jul-16 06:03 |
| py3-ly-doc-0.9.9-r0.apk | 8.1 KiB | 2025-Jul-16 06:03 |
| py3-ly-0.9.9-r0.apk | 186.6 KiB | 2025-Jul-16 06:03 |
| py3-lxmf-pyc-0.8.0-r0.apk | 109.4 KiB | 2025-Sep-24 11:26 |
| py3-lxmf-0.8.0-r0.apk | 50.8 KiB | 2025-Sep-24 11:26 |
| py3-lunr-pyc-0.6.2-r4.apk | 50.5 KiB | 2024-Oct-26 05:49 |
| py3-lunr-0.6.2-r4.apk | 32.5 KiB | 2024-Oct-26 05:49 |
| py3-luhn-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-Oct-26 05:49 |
| py3-luhn-0.2.0-r9.apk | 4.0 KiB | 2024-Oct-26 05:49 |
| py3-lsprotocol-pyc-2023.0.1-r1.apk | 107.1 KiB | 2024-Oct-26 05:49 |
| py3-lsprotocol-2023.0.1-r1.apk | 69.5 KiB | 2024-Oct-26 05:49 |
| py3-lsp-mypy-pyc-0.7.0-r1.apk | 12.8 KiB | 2025-Aug-30 10:39 |
| py3-lsp-mypy-0.7.0-r1.apk | 12.8 KiB | 2025-Aug-30 10:39 |
| py3-lsp-black-pyc-2.0.0-r1.apk | 6.4 KiB | 2024-Oct-26 05:49 |
| py3-lsp-black-2.0.0-r1.apk | 7.5 KiB | 2024-Oct-26 05:49 |
| py3-logtop-pyc-0.7-r1.apk | 4.1 KiB | 2025-Aug-09 12:11 |
| py3-logtop-0.7-r1.apk | 22.2 KiB | 2025-Aug-09 12:11 |
| py3-log-symbols-pyc-0.0.14-r5.apk | 3.1 KiB | 2024-Oct-26 05:49 |
| py3-log-symbols-0.0.14-r5.apk | 4.2 KiB | 2024-Oct-26 05:49 |
| py3-livestream-pyc-2.1.0-r0.apk | 29.9 KiB | 2024-Nov-26 07:22 |
| py3-livestream-2.1.0-r0.apk | 766.2 KiB | 2024-Nov-26 07:22 |
| py3-litex-hub-valentyusb-2024.04-r0.apk | 111.5 KiB | 2024-Oct-26 05:49 |
| py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk | 4.7 MiB | 2024-Oct-26 05:49 |
| py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk | 2.2 MiB | 2024-Oct-26 05:49 |
| py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk | 57.9 KiB | 2024-Oct-26 05:49 |
| py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk | 2.4 MiB | 2024-Oct-26 05:49 |
| py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk | 712.6 KiB | 2024-Oct-26 05:49 |
| py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 675.2 KiB | 2024-Oct-26 05:49 |
| py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 56.8 MiB | 2024-Oct-26 05:49 |
| py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk | 230.3 KiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk | 7.6 KiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 221.4 KiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk | 44.8 KiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk | 19.5 MiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk | 207.9 KiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 112.1 KiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1.8 MiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10.1 MiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 500.0 KiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk | 934.1 KiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk | 1.9 MiB | 2024-Oct-26 05:48 |
| py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk | 5.6 MiB | 2024-Oct-26 05:48 |
| py3-litex-hub-modules-pyc-2024.04-r0.apk | 1.1 MiB | 2024-Oct-26 05:48 |
| py3-litex-hub-modules-2024.04-r0.apk | 1.6 KiB | 2024-Oct-26 05:48 |
| py3-linkify-it-py-pyc-2.0.3-r1.apk | 23.4 KiB | 2024-Oct-26 05:48 |
| py3-linkify-it-py-2.0.3-r1.apk | 21.3 KiB | 2024-Oct-26 05:48 |
| py3-limits-pyc-3.14.1-r0.apk | 71.4 KiB | 2024-Dec-26 04:27 |
| py3-limits-3.14.1-r0.apk | 33.5 KiB | 2024-Dec-26 04:27 |
| py3-librtmp-pyc-0.3.0-r6.apk | 24.5 KiB | 2024-Oct-26 05:48 |
| py3-librtmp-0.3.0-r6.apk | 36.2 KiB | 2024-Oct-26 05:48 |
| py3-libpyshell-pyc-0.4.1-r1.apk | 18.3 KiB | 2025-Jun-10 18:39 |
| py3-libpyshell-0.4.1-r1.apk | 11.5 KiB | 2025-Jun-10 18:39 |
| py3-libnacl-pyc-2.1.0-r1.apk | 30.3 KiB | 2024-Oct-26 05:48 |
| py3-libnacl-2.1.0-r1.apk | 20.3 KiB | 2024-Oct-26 05:48 |
| py3-liblarch-pyc-3.2.0-r6.apk | 49.6 KiB | 2024-Dec-09 06:36 |
| py3-liblarch-3.2.0-r6.apk | 29.5 KiB | 2024-Dec-09 06:36 |
| py3-libiio-0.25-r2.apk | 12.6 KiB | 2024-Oct-26 05:48 |
| py3-libacl-0.7.3-r0.apk | 26.1 KiB | 2025-Oct-17 07:13 |
| py3-lib_users-pyc-0.15-r4.apk | 9.5 KiB | 2024-Oct-26 05:48 |
| py3-lib_users-0.15-r4.apk | 15.6 KiB | 2024-Oct-26 05:48 |
| py3-latex2mathml-pyc-3.78.1-r1.apk | 34.8 KiB | 2025-Sep-30 04:16 |
| py3-latex2mathml-3.78.1-r1.apk | 72.0 KiB | 2025-Sep-30 04:16 |
| py3-language-data-pyc-1.3.0-r0.apk | 3.0 MiB | 2024-Dec-02 05:08 |
| py3-language-data-1.3.0-r0.apk | 5.0 MiB | 2024-Dec-02 05:08 |
| py3-langcodes-pyc-3.3.0-r2.apk | 109.3 KiB | 2024-Nov-21 22:31 |
| py3-langcodes-3.3.0-r2.apk | 172.8 KiB | 2024-Nov-21 22:31 |
| py3-landlock-pyc-1.0.0_pre4-r2.apk | 9.5 KiB | 2024-Oct-26 05:48 |
| py3-landlock-1.0.0_pre4-r2.apk | 8.4 KiB | 2024-Oct-26 05:48 |
| py3-knowit-pyc-0.5.11-r0.apk | 61.5 KiB | 2025-Nov-24 08:12 |
| py3-knowit-0.5.11-r0.apk | 31.7 KiB | 2025-Nov-24 08:12 |
| py3-kikit-pyc-1.7.2-r0.apk | 277.4 KiB | 2025-May-09 06:43 |
| py3-kikit-1.7.2-r0.apk | 238.8 KiB | 2025-May-09 06:43 |
| py3-kerberos-1.3.1-r5.apk | 17.4 KiB | 2024-Oct-26 05:48 |
| py3-keepalive-pyc-0.5-r5.apk | 13.0 KiB | 2024-Oct-26 05:48 |
| py3-keepalive-doc-0.5-r5.apk | 2.0 KiB | 2024-Oct-26 05:48 |
| py3-keepalive-0.5-r5.apk | 9.0 KiB | 2024-Oct-26 05:48 |
| py3-kazoo-pyc-0_git20211202-r4.apk | 243.3 KiB | 2024-Oct-26 05:48 |
| py3-kazoo-0_git20211202-r4.apk | 125.0 KiB | 2024-Oct-26 05:48 |
| py3-junit-xml-pyc-1.9-r3.apk | 9.3 KiB | 2024-Oct-26 05:48 |
| py3-junit-xml-1.9-r3.apk | 8.3 KiB | 2024-Oct-26 05:48 |
| py3-joserfc-pyc-1.5.0-r0.apk | 110.3 KiB | 2025-Dec-06 01:44 |
| py3-joserfc-1.5.0-r0.apk | 54.1 KiB | 2025-Dec-06 01:44 |
| py3-jaraco.versioning-pyc-1.1.0-r0.apk | 6.1 KiB | 2024-Oct-26 05:48 |
| py3-jaraco.versioning-1.1.0-r0.apk | 5.9 KiB | 2024-Oct-26 05:48 |
| py3-jaraco.vcs-pyc-2.4.1-r0.apk | 16.0 KiB | 2025-Mar-09 18:07 |
| py3-jaraco.vcs-2.4.1-r0.apk | 10.2 KiB | 2025-Mar-09 18:07 |
| py3-jaraco.stream-pyc-3.0.4-r0.apk | 8.1 KiB | 2024-Dec-15 07:50 |
| py3-jaraco.stream-3.0.4-r0.apk | 6.7 KiB | 2024-Dec-15 07:50 |
| py3-jaraco.path-pyc-3.7.2-r0.apk | 9.5 KiB | 2024-Oct-26 05:48 |
| py3-jaraco.path-3.7.2-r0.apk | 7.6 KiB | 2024-Oct-26 05:48 |
| py3-jaraco.logging-pyc-3.4.0-r0.apk | 6.1 KiB | 2025-Jun-18 07:15 |
| py3-jaraco.logging-3.4.0-r0.apk | 5.3 KiB | 2025-Jun-18 07:15 |
| py3-janus-pyc-1.2.0-r0.apk | 13.3 KiB | 2024-Dec-13 13:57 |
| py3-janus-1.2.0-r0.apk | 12.4 KiB | 2024-Dec-13 13:57 |
| py3-itunespy-pyc-1.6-r5.apk | 14.6 KiB | 2025-May-15 03:14 |
| py3-itunespy-1.6-r5.apk | 9.7 KiB | 2025-May-15 03:14 |
| py3-iterable-io-pyc-1.0.0-r0.apk | 5.3 KiB | 2024-Oct-26 05:48 |
| py3-iterable-io-1.0.0-r0.apk | 6.0 KiB | 2024-Oct-26 05:48 |
| py3-itemloaders-pyc-1.3.2-r1.apk | 16.5 KiB | 2025-Aug-09 12:11 |
| py3-itemloaders-1.3.2-r1.apk | 12.3 KiB | 2025-Aug-09 12:11 |
| py3-itemadapter-pyc-0.10.0-r0.apk | 12.7 KiB | 2024-Dec-01 05:42 |
| py3-itemadapter-0.10.0-r0.apk | 11.3 KiB | 2024-Dec-01 05:42 |
| py3-iso639-lang-pyc-2.6.3-r0.apk | 12.2 KiB | 2025-Dec-06 01:44 |
| py3-iso639-lang-2.6.3-r0.apk | 310.9 KiB | 2025-Dec-06 01:44 |
| py3-isbnlib-pyc-3.10.14-r0.apk | 66.7 KiB | 2025-Jan-19 20:57 |
| py3-isbnlib-3.10.14-r0.apk | 42.7 KiB | 2025-Jan-19 20:57 |
| py3-irc-pyc-20.4.1-r1.apk | 70.3 KiB | 2025-Oct-06 15:09 |
| py3-irc-20.4.1-r1.apk | 40.1 KiB | 2025-Oct-06 15:09 |
| py3-ioctl-opt-pyc-1.3-r0.apk | 4.6 KiB | 2025-Jan-28 06:37 |
| py3-ioctl-opt-1.3-r0.apk | 11.6 KiB | 2025-Jan-28 06:37 |
| py3-intervals-pyc-0.9.2-r5.apk | 15.2 KiB | 2024-Oct-26 05:48 |
| py3-intervals-0.9.2-r5.apk | 9.4 KiB | 2024-Oct-26 05:48 |
| py3-iniparse-pyc-0.5-r7.apk | 24.7 KiB | 2024-Oct-26 05:48 |
| py3-iniparse-doc-0.5-r7.apk | 10.3 KiB | 2024-Oct-26 05:48 |
| py3-iniparse-0.5-r7.apk | 18.7 KiB | 2024-Oct-26 05:48 |
| py3-infinity-pyc-1.5-r6.apk | 3.7 KiB | 2024-Oct-26 05:48 |
| py3-infinity-1.5-r6.apk | 4.4 KiB | 2024-Oct-26 05:48 |
| py3-incoming-pyc-0.3.1-r8.apk | 19.9 KiB | 2024-Oct-26 05:48 |
| py3-incoming-0.3.1-r8.apk | 12.8 KiB | 2024-Oct-26 05:48 |
| py3-imdbpy-pyc-2021.4.18-r5.apk | 242.2 KiB | 2024-Oct-26 05:48 |
| py3-imdbpy-2021.4.18-r5.apk | 229.0 KiB | 2024-Oct-26 05:48 |
| py3-imageio-pyc-2.37.0-r0.apk | 503.8 KiB | 2025-Jul-16 06:03 |
| py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20.2 KiB | 2024-Oct-26 05:48 |
| py3-imageio-ffmpeg-0.4.9-r1.apk | 16.5 KiB | 2024-Oct-26 05:48 |
| py3-imageio-2.37.0-r0.apk | 285.5 KiB | 2025-Jul-16 06:03 |
| py3-igraph-pyc-1.0.0-r0.apk | 374.4 KiB | 2025-Oct-28 11:34 |
| py3-igraph-dev-1.0.0-r0.apk | 2.6 KiB | 2025-Oct-28 11:34 |
| py3-igraph-1.0.0-r0.apk | 410.7 KiB | 2025-Oct-28 11:34 |
| py3-icalendar-searcher-pyc-1.0.3-r0.apk | 25.8 KiB | 2025-Dec-08 04:14 |
| py3-icalendar-searcher-1.0.3-r0.apk | 35.0 KiB | 2025-Dec-08 04:14 |
| py3-hurry.filesize-pyc-0.9-r8.apk | 3.2 KiB | 2024-Oct-26 05:48 |
| py3-hurry.filesize-0.9-r8.apk | 4.6 KiB | 2024-Oct-26 05:48 |
| py3-html5-parser-pyc-0.4.12-r1.apk | 22.2 KiB | 2024-Oct-26 05:48 |
| py3-html5-parser-0.4.12-r1.apk | 173.3 KiB | 2024-Oct-26 05:48 |
| py3-hishel-pyc-0.1.4-r0.apk | 143.6 KiB | 2025-Oct-15 21:50 |
| py3-hishel-0.1.4-r0.apk | 76.9 KiB | 2025-Oct-15 21:50 |
| py3-himitsu-pyc-0.0.9-r0.apk | 7.5 KiB | 2025-Aug-25 20:00 |
| py3-himitsu-0.0.9-r0.apk | 5.8 KiB | 2025-Aug-25 20:00 |
| py3-highctidh-pyc-1.0.2024092800-r0.apk | 11.6 KiB | 2024-Nov-26 04:57 |
| py3-highctidh-1.0.2024092800-r0.apk | 341.6 KiB | 2024-Nov-26 04:57 |
| py3-hg-git-pyc-1.1.1-r1.apk | 106.5 KiB | 2024-Oct-26 05:48 |
| py3-hg-git-1.1.1-r1.apk | 70.1 KiB | 2024-Oct-26 05:48 |
| py3-hfst-3.16.2-r0.apk | 373.1 KiB | 2025-Mar-29 18:54 |
| py3-helper-pyc-2.5.0-r5.apk | 28.1 KiB | 2024-Oct-26 05:48 |
| py3-helper-2.5.0-r5.apk | 18.8 KiB | 2024-Oct-26 05:48 |
| py3-hatch-openzim-pyc-0.2.0-r0.apk | 12.9 KiB | 2024-Oct-26 05:48 |
| py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12.6 KiB | 2024-Oct-26 05:48 |
| py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 24.2 KiB | 2024-Oct-26 05:48 |
| py3-hatch-openzim-0.2.0-r0.apk | 24.5 KiB | 2024-Oct-26 05:48 |
| py3-halo-pyc-0.0.31-r5.apk | 13.9 KiB | 2024-Oct-26 05:48 |
| py3-halo-0.0.31-r5.apk | 11.5 KiB | 2024-Oct-26 05:48 |
| py3-gtkspellcheck-pyc-5.0.3-r1.apk | 29.6 KiB | 2025-Aug-09 12:11 |
| py3-gtkspellcheck-5.0.3-r1.apk | 44.8 KiB | 2025-Aug-09 12:11 |
| py3-grequests-pyc-0.7.0-r3.apk | 5.8 KiB | 2025-May-15 03:14 |
| py3-grequests-0.7.0-r3.apk | 6.7 KiB | 2025-May-15 03:14 |
| py3-googletrans-pyc-3.0.0-r5.apk | 17.4 KiB | 2024-Oct-26 05:48 |
| py3-googletrans-3.0.0-r5.apk | 15.5 KiB | 2024-Oct-26 05:48 |
| py3-google-trans-new-pyc-1.1.9-r2.apk | 10.5 KiB | 2024-Oct-26 05:48 |
| py3-google-trans-new-1.1.9-r2.apk | 9.2 KiB | 2024-Oct-26 05:48 |
| py3-gls-pyc-1.3.1-r1.apk | 84.1 KiB | 2024-Oct-26 05:48 |
| py3-gls-1.3.1-r1.apk | 46.7 KiB | 2024-Oct-26 05:48 |
| py3-glob2-pyc-0.7-r6.apk | 12.9 KiB | 2024-Oct-26 05:48 |
| py3-glob2-0.7-r6.apk | 10.3 KiB | 2024-Oct-26 05:48 |
| py3-github3-pyc-4.0.1-r1.apk | 227.1 KiB | 2024-Oct-26 05:48 |
| py3-github3-4.0.1-r1.apk | 128.2 KiB | 2024-Oct-26 05:48 |
| py3-git-versioner-pyc-7.1-r1.apk | 13.5 KiB | 2024-Oct-26 05:48 |
| py3-git-versioner-7.1-r1.apk | 11.9 KiB | 2024-Oct-26 05:48 |
| py3-gevent-websocket-pyc-0.10.1-r8.apk | 30.4 KiB | 2024-Oct-26 05:48 |
| py3-gevent-websocket-0.10.1-r8.apk | 19.7 KiB | 2024-Oct-26 05:48 |
| py3-geoip-1.3.2-r4.apk | 23.0 KiB | 2024-Oct-26 05:48 |
| py3-gdcm-3.2.2-r3.apk | 668.4 KiB | 2025-Dec-02 17:54 |
| py3-furl-pyc-2.1.4-r0.apk | 32.5 KiB | 2025-Jul-16 06:03 |
| py3-furl-2.1.4-r0.apk | 27.5 KiB | 2025-Jul-16 06:03 |
| py3-freetype-py-2.5.1-r0.apk | 160.9 KiB | 2024-Oct-26 05:48 |
| py3-fpdf-pyc-1.7.2-r5.apk | 88.9 KiB | 2024-Oct-26 05:48 |
| py3-fpdf-1.7.2-r5.apk | 40.3 KiB | 2024-Oct-26 05:48 |
| py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9.6 KiB | 2024-Oct-26 05:48 |
| py3-forbiddenfruit-0.1.4-r2.apk | 8.9 KiB | 2024-Oct-26 05:48 |
| py3-flask-themer-pyc-2.0.0-r2.apk | 7.0 KiB | 2024-Oct-26 05:48 |
| py3-flask-themer-2.0.0-r2.apk | 7.9 KiB | 2024-Oct-26 05:48 |
| py3-flask-socketio-pyc-5.5.1-r0.apk | 25.9 KiB | 2025-Sep-06 08:30 |
| py3-flask-socketio-doc-5.5.1-r0.apk | 23.0 KiB | 2025-Sep-06 08:30 |
| py3-flask-socketio-5.5.1-r0.apk | 18.3 KiB | 2025-Sep-06 08:30 |
| py3-flask-security-pyc-5.6.2-r0.apk | 227.2 KiB | 2025-Nov-10 12:18 |
| py3-flask-security-5.6.2-r0.apk | 296.7 KiB | 2025-Nov-10 12:18 |
| py3-flask-restless-pyc-0.17.0-r9.apk | 59.0 KiB | 2024-Oct-26 05:48 |
| py3-flask-restless-0.17.0-r9.apk | 40.5 KiB | 2024-Oct-26 05:48 |
| py3-flask-restaction-pyc-0.25.3-r8.apk | 19.7 KiB | 2024-Oct-26 05:48 |
| py3-flask-restaction-0.25.3-r8.apk | 114.8 KiB | 2024-Oct-26 05:48 |
| py3-flask-qrcode-pyc-3.2.0-r0.apk | 6.2 KiB | 2024-Dec-12 15:32 |
| py3-flask-qrcode-3.2.0-r0.apk | 18.0 KiB | 2024-Dec-12 15:32 |
| py3-flask-peewee-pyc-3.0.6-r0.apk | 95.5 KiB | 2024-Oct-26 05:48 |
| py3-flask-peewee-3.0.6-r0.apk | 172.0 KiB | 2024-Oct-26 05:48 |
| py3-flask-paginate-pyc-0.8.1-r6.apk | 11.2 KiB | 2024-Oct-26 05:48 |
| py3-flask-paginate-0.8.1-r6.apk | 8.2 KiB | 2024-Oct-26 05:48 |
| py3-flask-migrate-pyc-4.1.0-r0.apk | 18.4 KiB | 2025-Jul-16 06:03 |
| py3-flask-migrate-4.1.0-r0.apk | 13.3 KiB | 2025-Jul-16 06:03 |
| py3-flask-markdown-pyc-0.3-r8.apk | 3.8 KiB | 2024-Oct-26 05:48 |
| py3-flask-markdown-0.3-r8.apk | 5.6 KiB | 2024-Oct-26 05:48 |
| py3-flask-mailman-pyc-1.1.1-r0.apk | 25.7 KiB | 2024-Oct-26 05:48 |
| py3-flask-mailman-1.1.1-r0.apk | 16.5 KiB | 2024-Oct-26 05:48 |
| py3-flask-loopback-pyc-1.4.7-r7.apk | 7.9 KiB | 2024-Oct-26 05:48 |
| py3-flask-loopback-1.4.7-r7.apk | 5.6 KiB | 2024-Oct-26 05:48 |
| py3-flask-limiter-pyc-3.10.1-r0.apk | 47.0 KiB | 2025-Jan-20 01:39 |
| py3-flask-limiter-3.10.1-r0.apk | 26.6 KiB | 2025-Jan-20 01:39 |
| py3-flask-json-schema-pyc-0.0.5-r4.apk | 3.4 KiB | 2024-Oct-26 05:48 |
| py3-flask-json-schema-0.0.5-r4.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| py3-flask-httpauth-pyc-4.8.0-r3.apk | 10.6 KiB | 2025-Nov-29 20:38 |
| py3-flask-httpauth-4.8.0-r3.apk | 7.8 KiB | 2025-Nov-29 20:38 |
| py3-flask-headers-pyc-1.0-r9.apk | 2.4 KiB | 2024-Oct-26 05:48 |
| py3-flask-headers-1.0-r9.apk | 3.2 KiB | 2024-Oct-26 05:48 |
| py3-flask-gzip-pyc-0.2-r8.apk | 2.8 KiB | 2024-Oct-26 05:48 |
| py3-flask-gzip-0.2-r8.apk | 3.2 KiB | 2024-Oct-26 05:48 |
| py3-flask-flatpages-pyc-0.8.3-r0.apk | 13.7 KiB | 2024-Dec-07 07:57 |
| py3-flask-flatpages-0.8.3-r0.apk | 10.7 KiB | 2024-Dec-07 07:57 |
| py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6.2 KiB | 2024-Oct-26 05:48 |
| py3-flask-dbconfig-0.3.12-r8.apk | 85.7 KiB | 2024-Oct-26 05:48 |
| py3-flask-components-pyc-0.1.1-r9.apk | 3.3 KiB | 2024-Oct-26 05:48 |
| py3-flask-components-0.1.1-r9.apk | 3.9 KiB | 2024-Oct-26 05:48 |
| py3-flask-cdn-pyc-1.5.3-r8.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| py3-flask-cdn-1.5.3-r8.apk | 4.8 KiB | 2024-Oct-26 05:48 |
| py3-flask-cache-pyc-0.13.1-r9.apk | 18.3 KiB | 2024-Oct-26 05:48 |
| py3-flask-cache-0.13.1-r9.apk | 12.8 KiB | 2024-Oct-26 05:48 |
| py3-flask-bootstrap-pyc-3.3.7.1-r9.apk | 10.9 KiB | 2025-May-13 06:43 |
| py3-flask-bootstrap-3.3.7.1-r9.apk | 448.6 KiB | 2025-May-13 06:43 |
| py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5.8 KiB | 2024-Oct-26 05:48 |
| py3-flask-bcrypt-1.0.1-r5.apk | 7.1 KiB | 2024-Oct-26 05:48 |
| py3-flask-basicauth-pyc-0.2.0-r9.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| py3-flask-basicauth-0.2.0-r9.apk | 5.3 KiB | 2024-Oct-26 05:48 |
| py3-flask-autorouter-pyc-0.2.2-r3.apk | 4.9 KiB | 2024-Oct-26 05:48 |
| py3-flask-autorouter-0.2.2-r3.apk | 5.1 KiB | 2024-Oct-26 05:48 |
| py3-flask-admin-pyc-1.6.1-r3.apk | 357.2 KiB | 2024-Oct-26 05:48 |
| py3-flask-admin-1.6.1-r3.apk | 6.5 MiB | 2024-Oct-26 05:48 |
| py3-flask-accept-pyc-0.0.7-r0.apk | 3.7 KiB | 2025-Jul-16 06:03 |
| py3-flask-accept-0.0.7-r0.apk | 5.6 KiB | 2025-Jul-16 06:03 |
| py3-flake8-todo-pyc-0.7-r7.apk | 2.2 KiB | 2024-Oct-26 05:48 |
| py3-flake8-todo-0.7-r7.apk | 3.6 KiB | 2024-Oct-26 05:48 |
| py3-flake8-snippets-pyc-0.2-r8.apk | 3.6 KiB | 2024-Oct-26 05:48 |
| py3-flake8-snippets-0.2-r8.apk | 5.3 KiB | 2024-Oct-26 05:48 |
| py3-flake8-print-pyc-5.0.0-r5.apk | 4.4 KiB | 2024-Oct-26 05:48 |
| py3-flake8-print-5.0.0-r5.apk | 6.7 KiB | 2024-Oct-26 05:48 |
| py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5.7 KiB | 2024-Oct-26 05:48 |
| py3-flake8-polyfill-1.0.2-r5.apk | 7.1 KiB | 2024-Oct-26 05:48 |
| py3-flake8-isort-pyc-7.0.0-r0.apk | 5.4 KiB | 2025-Oct-26 05:14 |
| py3-flake8-isort-7.0.0-r0.apk | 18.0 KiB | 2025-Oct-26 05:14 |
| py3-flake8-import-order-pyc-0.18.2-r4.apk | 16.8 KiB | 2024-Oct-26 05:48 |
| py3-flake8-import-order-0.18.2-r4.apk | 15.4 KiB | 2024-Oct-26 05:48 |
| py3-flake8-debugger-pyc-4.1.2-r4.apk | 5.9 KiB | 2024-Oct-26 05:48 |
| py3-flake8-debugger-4.1.2-r4.apk | 6.2 KiB | 2024-Oct-26 05:48 |
| py3-flake8-copyright-pyc-0.2.4-r3.apk | 3.3 KiB | 2024-Oct-26 05:48 |
| py3-flake8-copyright-0.2.4-r3.apk | 18.2 KiB | 2024-Oct-26 05:48 |
| py3-flake8-builtins-pyc-2.5.0-r0.apk | 7.9 KiB | 2024-Dec-08 06:51 |
| py3-flake8-builtins-2.5.0-r0.apk | 12.7 KiB | 2024-Dec-08 06:51 |
| py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2.6 KiB | 2024-Oct-26 05:48 |
| py3-flake8-blind-except-0.2.1-r4.apk | 5.2 KiB | 2024-Oct-26 05:48 |
| py3-firmata-pyc-1.0.3-r10.apk | 20.8 KiB | 2024-Oct-26 05:48 |
| py3-firmata-1.0.3-r10.apk | 14.2 KiB | 2024-Oct-26 05:48 |
| py3-ffmpeg-pyc-0.2.0-r5.apk | 32.6 KiB | 2025-May-15 03:14 |
| py3-ffmpeg-0.2.0-r5.apk | 23.6 KiB | 2025-May-15 03:14 |
| py3-feedgenerator-pyc-2.1.0-r2.apk | 26.7 KiB | 2024-Oct-26 05:48 |
| py3-feedgenerator-2.1.0-r2.apk | 18.0 KiB | 2024-Oct-26 05:48 |
| py3-feedgen-pyc-1.0.0-r1.apk | 61.6 KiB | 2024-Oct-26 05:48 |
| py3-feedgen-1.0.0-r1.apk | 40.2 KiB | 2024-Oct-26 05:48 |
| py3-fastdiff-pyc-0.3.0-r5.apk | 4.2 KiB | 2024-Oct-26 05:48 |
| py3-fastdiff-0.3.0-r5.apk | 38.4 KiB | 2024-Oct-26 05:48 |
| py3-fastavro-pyc-1.12.1-r0.apk | 81.9 KiB | 2025-Oct-14 10:59 |
| py3-fastavro-1.12.1-r0.apk | 440.1 KiB | 2025-Oct-14 10:59 |
| py3-evohome-client-pyc-0.3.9-r0.apk | 27.3 KiB | 2025-Jul-16 06:03 |
| py3-evohome-client-0.3.9-r0.apk | 18.8 KiB | 2025-Jul-16 06:03 |
| py3-eventlet-pyc-0.38.1-r0.apk | 335.6 KiB | 2024-Dec-12 06:38 |
| py3-eventlet-0.38.1-r0.apk | 332.2 KiB | 2024-Dec-12 06:38 |
| py3-evalidate-pyc-2.1.3-r0.apk | 12.2 KiB | 2025-Dec-17 03:43 |
| py3-evalidate-2.1.3-r0.apk | 13.6 KiB | 2025-Dec-17 03:43 |
| py3-euclid3-pyc-0.01-r8.apk | 32.6 KiB | 2024-Oct-26 05:48 |
| py3-euclid3-0.01-r8.apk | 14.2 KiB | 2024-Oct-26 05:48 |
| py3-eradicate-pyc-2.3.0-r2.apk | 8.3 KiB | 2024-Oct-26 05:48 |
| py3-eradicate-doc-2.3.0-r2.apk | 2.5 KiB | 2024-Oct-26 05:48 |
| py3-eradicate-2.3.0-r2.apk | 7.6 KiB | 2024-Oct-26 05:48 |
| py3-enzyme-pyc-0.5.2-r0.apk | 18.8 KiB | 2025-Jul-16 06:03 |
| py3-enzyme-0.5.2-r0.apk | 22.7 KiB | 2025-Jul-16 06:03 |
| py3-enlighten-pyc-1.14.1-r0.apk | 46.4 KiB | 2025-Aug-28 19:50 |
| py3-enlighten-1.14.1-r0.apk | 36.9 KiB | 2025-Aug-28 19:50 |
| py3-engineio-pyc-4.12.3-r0.apk | 104.2 KiB | 2025-Nov-27 18:36 |
| py3-engineio-doc-4.12.3-r0.apk | 33.4 KiB | 2025-Nov-27 18:36 |
| py3-engineio-4.12.3-r0.apk | 48.7 KiB | 2025-Nov-27 18:36 |
| py3-empy-pyc-3.3.4-r7.apk | 58.3 KiB | 2024-Oct-26 05:48 |
| py3-empy-3.3.4-r7.apk | 39.3 KiB | 2024-Oct-26 05:48 |
| py3-editdistance-s-pyc-1.0.0-r6.apk | 2.0 KiB | 2024-Oct-26 05:48 |
| py3-editdistance-s-1.0.0-r6.apk | 14.4 KiB | 2024-Oct-26 05:48 |
| py3-edalize-pyc-0.5.4-r0.apk | 189.8 KiB | 2024-Oct-26 05:48 |
| py3-edalize-0.5.4-r0.apk | 122.6 KiB | 2024-Oct-26 05:48 |
| py3-ecos-pyc-2.0.11-r4.apk | 3.6 KiB | 2024-Oct-26 05:48 |
| py3-ecos-2.0.11-r4.apk | 27.6 KiB | 2024-Oct-26 05:48 |
| py3-ecbdata-0.1.1-r0.apk | 13.5 KiB | 2025-Apr-14 09:03 |
| py3-dweepy-pyc-0.3.0-r7.apk | 6.2 KiB | 2024-Oct-26 05:48 |
| py3-dweepy-0.3.0-r7.apk | 9.1 KiB | 2024-Oct-26 05:48 |
| py3-duniterpy-1.1.1-r3.apk | 221.3 KiB | 2024-Oct-26 05:48 |
| py3-dunamai-pyc-1.25.0-r0.apk | 43.8 KiB | 2025-Jul-26 07:24 |
| py3-dunamai-1.25.0-r0.apk | 26.9 KiB | 2025-Jul-26 07:24 |
| py3-drf-yasg-pyc-1.21.10-r0.apk | 98.2 KiB | 2025-Jul-16 06:03 |
| py3-drf-yasg-1.21.10-r0.apk | 4.1 MiB | 2025-Jul-16 06:03 |
| py3-dpath-pyc-2.2.0-r0.apk | 17.8 KiB | 2024-Oct-26 05:48 |
| py3-dpath-2.2.0-r0.apk | 17.0 KiB | 2024-Oct-26 05:48 |
| py3-downloader-cli-pyc-0.3.4-r2.apk | 14.1 KiB | 2025-May-15 03:14 |
| py3-downloader-cli-0.3.4-r2.apk | 11.2 KiB | 2025-May-15 03:14 |
| py3-dotty-dict-pyc-1.3.1-r4.apk | 8.7 KiB | 2024-Oct-26 05:48 |
| py3-dotty-dict-1.3.1-r4.apk | 8.4 KiB | 2024-Oct-26 05:48 |
| py3-dominate-pyc-2.9.1-r1.apk | 33.6 KiB | 2024-Oct-26 05:48 |
| py3-dominate-2.9.1-r1.apk | 24.7 KiB | 2024-Oct-26 05:48 |
| py3-doit-pyc-0.36.0-r5.apk | 133.4 KiB | 2024-Oct-26 05:48 |
| py3-doit-0.36.0-r5.apk | 76.5 KiB | 2024-Oct-26 05:48 |
| py3-doi-pyc-0.2-r0.apk | 4.7 KiB | 2025-Apr-12 21:09 |
| py3-doi-0.2-r0.apk | 6.2 KiB | 2025-Apr-12 21:09 |
| py3-dogpile.cache-pyc-1.3.3-r1.apk | 90.5 KiB | 2025-May-15 03:14 |
| py3-dogpile.cache-1.3.3-r1.apk | 52.6 KiB | 2025-May-15 03:14 |
| py3-dnslib-pyc-0.9.26-r0.apk | 109.1 KiB | 2025-Sep-02 03:15 |
| py3-dnslib-0.9.26-r0.apk | 56.4 KiB | 2025-Sep-02 03:15 |
| py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 4.9 KiB | 2024-Oct-26 05:48 |
| py3-django-taggit-serializer-0.1.7-r8.apk | 4.0 KiB | 2024-Oct-26 05:48 |
| py3-django-suit-pyc-0.2.28-r8.apk | 32.4 KiB | 2024-Oct-26 05:48 |
| py3-django-suit-0.2.28-r8.apk | 365.8 KiB | 2024-Oct-26 05:48 |
| py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk | 14.9 KiB | 2024-Oct-26 05:48 |
| py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 14.7 KiB | 2024-Oct-26 05:48 |
| py3-distorm3-pyc-3.5.2-r6.apk | 48.6 KiB | 2024-Oct-26 05:48 |
| py3-distorm3-3.5.2-r6.apk | 47.6 KiB | 2024-Oct-26 05:48 |
| py3-discid-pyc-1.3.0-r0.apk | 13.5 KiB | 2025-Jul-29 06:30 |
| py3-discid-1.3.0-r0.apk | 12.5 KiB | 2025-Jul-29 06:30 |
| py3-dexml-pyc-0.5.1-r9.apk | 37.4 KiB | 2024-Oct-26 05:48 |
| py3-dexml-0.5.1-r9.apk | 22.2 KiB | 2024-Oct-26 05:48 |
| py3-deluge-client-pyc-1.10.2-r0.apk | 19.6 KiB | 2024-Oct-26 05:48 |
| py3-deluge-client-doc-1.10.2-r0.apk | 2.3 KiB | 2024-Oct-26 05:48 |
| py3-deluge-client-1.10.2-r0.apk | 12.9 KiB | 2024-Oct-26 05:48 |
| py3-dbus-fast-pyc-3.1.2-r0.apk | 130.2 KiB | 2025-Nov-24 06:16 |
| py3-dbus-fast-doc-3.1.2-r0.apk | 6.2 KiB | 2025-Nov-24 06:16 |
| py3-dbus-fast-3.1.2-r0.apk | 835.9 KiB | 2025-Nov-24 06:16 |
| py3-daterangestr-pyc-0.0.3-r8.apk | 4.2 KiB | 2024-Oct-26 05:48 |
| py3-daterangestr-0.0.3-r8.apk | 4.3 KiB | 2024-Oct-26 05:48 |
| py3-dateparser-pyc-1.2.2-r0.apk | 335.2 KiB | 2025-Jul-07 07:04 |
| py3-dateparser-1.2.2-r0.apk | 216.2 KiB | 2025-Jul-07 07:04 |
| py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14.3 KiB | 2024-Oct-26 05:48 |
| py3-dataclasses-serialization-1.3.1-r3.apk | 10.9 KiB | 2024-Oct-26 05:48 |
| py3-dataclasses-json-pyc-0.6.7-r0.apk | 35.7 KiB | 2024-Oct-26 05:48 |
| py3-dataclasses-json-0.6.7-r0.apk | 27.4 KiB | 2024-Oct-26 05:48 |
| py3-dash-bootstrap-components-1.6.0-r0.apk | 16.5 KiB | 2025-Apr-13 18:26 |
| py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk | 1.8 KiB | 2025-Nov-12 04:23 |
| py3-cython-test-exception-raiser-25.11.0-r0.apk | 18.1 KiB | 2025-Nov-12 04:23 |
| py3-cvxpy-pyc-1.2.1-r5.apk | 934.6 KiB | 2024-Oct-26 05:48 |
| py3-cvxpy-1.2.1-r5.apk | 676.1 KiB | 2024-Oct-26 05:48 |
| py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10.4 KiB | 2024-Dec-02 05:13 |
| py3-cucumber-tag-expressions-6.1.1-r0.apk | 8.7 KiB | 2024-Dec-02 05:13 |
| py3-cstruct-pyc-6.1-r0.apk | 37.6 KiB | 2025-Aug-22 08:09 |
| py3-cstruct-6.1-r0.apk | 23.2 KiB | 2025-Aug-22 08:09 |
| py3-cssutils-pyc-2.11.1-r1.apk | 278.6 KiB | 2024-Oct-26 05:48 |
| py3-cssutils-2.11.1-r1.apk | 155.2 KiB | 2024-Oct-26 05:48 |
| py3-cryptg-pyc-0.5.0-r0.apk | 1.9 KiB | 2025-May-08 21:21 |
| py3-cryptg-0.5.0-r0.apk | 179.7 KiB | 2025-May-08 21:21 |
| py3-croniter-pyc-6.0.0-r0.apk | 25.6 KiB | 2025-Aug-25 18:05 |
| py3-croniter-6.0.0-r0.apk | 25.8 KiB | 2025-Aug-25 18:05 |
| py3-createrepo_c-pyc-1.1.4-r0.apk | 14.5 KiB | 2024-Oct-26 05:48 |
| py3-createrepo_c-1.1.4-r0.apk | 44.9 KiB | 2024-Oct-26 05:48 |
| py3-crc16-pyc-0.1.1-r10.apk | 4.7 KiB | 2024-Oct-26 05:48 |
| py3-crc16-0.1.1-r10.apk | 11.7 KiB | 2024-Oct-26 05:48 |
| py3-coreapi-pyc-2.3.3-r9.apk | 43.4 KiB | 2024-Oct-26 05:48 |
| py3-coreapi-2.3.3-r9.apk | 22.2 KiB | 2024-Oct-26 05:48 |
| py3-cookiecutter-pyc-2.6.0-r1.apk | 47.4 KiB | 2024-Oct-26 05:48 |
| py3-cookiecutter-doc-2.6.0-r1.apk | 3.7 KiB | 2024-Oct-26 05:48 |
| py3-cookiecutter-2.6.0-r1.apk | 35.4 KiB | 2024-Oct-26 05:48 |
| py3-confusable-homoglyphs-pyc-3.3.1-r0.apk | 9.4 KiB | 2025-Sep-26 09:43 |
| py3-confusable-homoglyphs-3.3.1-r0.apk | 137.1 KiB | 2025-Sep-26 09:43 |
| py3-compdb-pyc-0.2.0-r8.apk | 39.5 KiB | 2024-Oct-26 05:48 |
| py3-compdb-doc-0.2.0-r8.apk | 3.0 KiB | 2024-Oct-26 05:48 |
| py3-compdb-0.2.0-r8.apk | 23.3 KiB | 2024-Oct-26 05:48 |
| py3-columnize-pyc-0.3.11-r4.apk | 7.5 KiB | 2024-Oct-26 05:48 |
| py3-columnize-0.3.11-r4.apk | 8.5 KiB | 2024-Oct-26 05:48 |
| py3-colorthief-pyc-0.2.1-r1.apk | 10.0 KiB | 2024-Oct-26 05:48 |
| py3-colorthief-0.2.1-r1.apk | 7.3 KiB | 2024-Oct-26 05:48 |
| py3-colander-pyc-2.0-r2.apk | 42.4 KiB | 2024-Oct-26 05:48 |
| py3-colander-2.0-r2.apk | 62.5 KiB | 2024-Oct-26 05:48 |
| py3-cobs-pyc-1.2.0-r4.apk | 12.4 KiB | 2024-Oct-26 05:48 |
| py3-cobs-1.2.0-r4.apk | 19.5 KiB | 2024-Oct-26 05:48 |
| py3-cmd2-pyc-2.4.3-r2.apk | 222.2 KiB | 2024-Oct-26 05:48 |
| py3-cmd2-2.4.3-r2.apk | 139.4 KiB | 2024-Oct-26 05:48 |
| py3-clickclick-pyc-20.10.2-r4.apk | 9.7 KiB | 2024-Oct-26 05:48 |
| py3-clickclick-20.10.2-r4.apk | 7.9 KiB | 2024-Oct-26 05:48 |
| py3-click-threading-pyc-0.5.0-r5.apk | 7.8 KiB | 2024-Oct-26 05:48 |
| py3-click-threading-0.5.0-r5.apk | 6.3 KiB | 2024-Oct-26 05:48 |
| py3-click-default-group-pyc-1.2.4-r1.apk | 4.5 KiB | 2024-Oct-26 05:48 |
| py3-click-default-group-1.2.4-r1.apk | 5.1 KiB | 2024-Oct-26 05:48 |
| py3-click-completion-pyc-0.5.2-r1.apk | 14.2 KiB | 2024-Oct-26 05:48 |
| py3-click-completion-0.5.2-r1.apk | 10.8 KiB | 2024-Oct-26 05:48 |
| py3-class-doc-pyc-1.25-r1.apk | 8.7 KiB | 2024-Oct-26 05:48 |
| py3-class-doc-1.25-r1.apk | 6.0 KiB | 2024-Oct-26 05:48 |
| py3-clang-next-pyc-22.0.0_pre20251226-r0.apk | 61.5 KiB | 2025-Dec-27 20:04 |
| py3-clang-next-22.0.0_pre20251226-r0.apk | 34.3 KiB | 2025-Dec-27 20:04 |
| py3-cjkwrap-pyc-2.2-r6.apk | 5.2 KiB | 2025-May-15 04:18 |
| py3-cjkwrap-2.2-r6.apk | 4.5 KiB | 2025-May-15 04:18 |
| py3-ciso8601-2.3.3-r0.apk | 16.4 KiB | 2025-Nov-11 02:55 |
| py3-chameleon-pyc-4.6.0-r0.apk | 130.6 KiB | 2025-Jul-06 18:19 |
| py3-chameleon-4.6.0-r0.apk | 97.1 KiB | 2025-Jul-06 18:19 |
| py3-certauth-pyc-1.3.0-r1.apk | 9.1 KiB | 2024-Oct-26 05:48 |
| py3-certauth-1.3.0-r1.apk | 8.7 KiB | 2024-Oct-26 05:48 |
| py3-cdio-pyc-2.1.1-r6.apk | 43.1 KiB | 2025-Jan-21 05:43 |
| py3-cdio-2.1.1-r6.apk | 102.0 KiB | 2025-Jan-21 05:43 |
| py3-cchardet-pyc-2.1.7-r5.apk | 3.0 KiB | 2024-Oct-26 05:48 |
| py3-cchardet-2.1.7-r5.apk | 126.3 KiB | 2024-Oct-26 05:48 |
| py3-catkin-pkg-pyc-0.5.2-r4.apk | 102.9 KiB | 2024-Oct-26 05:48 |
| py3-catkin-pkg-0.5.2-r4.apk | 57.2 KiB | 2024-Oct-26 05:48 |
| py3-cassandra-driver-pyc-3.29.2-r0.apk | 560.0 KiB | 2024-Oct-26 05:48 |
| py3-cassandra-driver-3.29.2-r0.apk | 285.8 KiB | 2024-Oct-26 05:48 |
| py3-caldav-pyc-2.2.3-r0.apk | 153.9 KiB | 2025-Dec-08 04:14 |
| py3-caldav-2.2.3-r0.apk | 112.7 KiB | 2025-Dec-08 04:14 |
| py3-c3d-pyc-0.5.2-r1.apk | 53.6 KiB | 2024-Oct-26 05:48 |
| py3-c3d-0.5.2-r1.apk | 32.0 KiB | 2024-Oct-26 05:48 |
| py3-businesstime-pyc-0.3.0-r9.apk | 16.3 KiB | 2024-Oct-26 05:48 |
| py3-businesstime-0.3.0-r9.apk | 10.6 KiB | 2024-Oct-26 05:48 |
| py3-bson-pyc-0.5.10-r6.apk | 18.5 KiB | 2024-Oct-26 05:48 |
| py3-bson-0.5.10-r6.apk | 11.7 KiB | 2024-Oct-26 05:48 |
| py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4.2 KiB | 2024-Oct-26 05:48 |
| py3-bottle-werkzeug-0.1.1-r9.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| py3-bottle-websocket-pyc-0.2.9-r8.apk | 3.1 KiB | 2024-Oct-26 05:48 |
| py3-bottle-websocket-0.2.9-r8.apk | 4.6 KiB | 2024-Oct-26 05:48 |
| py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5.2 KiB | 2024-Oct-26 05:48 |
| py3-bottle-sqlite-0.2.0-r7.apk | 4.7 KiB | 2024-Oct-26 05:48 |
| py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5.6 KiB | 2024-Oct-26 05:48 |
| py3-bottle-sqlalchemy-0.4.3-r8.apk | 4.8 KiB | 2024-Oct-26 05:48 |
| py3-bottle-session-pyc-1.0-r6.apk | 7.8 KiB | 2024-Oct-26 05:48 |
| py3-bottle-session-1.0-r6.apk | 10.2 KiB | 2024-Oct-26 05:48 |
| py3-bottle-rest-pyc-0.6.0-r1.apk | 5.1 KiB | 2024-Oct-26 05:48 |
| py3-bottle-rest-0.6.0-r1.apk | 6.1 KiB | 2024-Oct-26 05:48 |
| py3-bottle-request-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-Oct-26 05:48 |
| py3-bottle-request-0.2.0-r9.apk | 3.2 KiB | 2024-Oct-26 05:48 |
| py3-bottle-renderer-pyc-0.1.1-r9.apk | 3.7 KiB | 2024-Oct-26 05:48 |
| py3-bottle-renderer-0.1.1-r9.apk | 4.0 KiB | 2024-Oct-26 05:48 |
| py3-bottle-redis-pyc-0.2.3-r6.apk | 3.1 KiB | 2024-Oct-26 05:48 |
| py3-bottle-redis-0.2.3-r6.apk | 3.3 KiB | 2024-Oct-26 05:48 |
| py3-bottle-pgsql-0.2-r5.apk | 4.3 KiB | 2024-Oct-26 05:48 |
| py3-bottle-api-pyc-0.0.4-r7.apk | 5.2 KiB | 2024-Oct-26 05:48 |
| py3-bottle-api-0.0.4-r7.apk | 4.9 KiB | 2024-Oct-26 05:48 |
| py3-bookkeeper-pyc-4.17.2-r0.apk | 66.7 KiB | 2025-Jul-16 06:03 |
| py3-bookkeeper-4.17.2-r0.apk | 43.0 KiB | 2025-Jul-16 06:03 |
| py3-blockdiag-tests-3.0.0-r6.apk | 2.5 MiB | 2025-Jan-10 22:25 |
| py3-blockdiag-pyc-3.0.0-r6.apk | 148.8 KiB | 2025-Jan-10 22:25 |
| py3-blockdiag-3.0.0-r6.apk | 68.0 KiB | 2025-Jan-10 22:25 |
| py3-blockchain-pyc-1.4.4-r7.apk | 17.9 KiB | 2024-Oct-26 05:48 |
| py3-blockchain-1.4.4-r7.apk | 11.0 KiB | 2024-Oct-26 05:48 |
| py3-bleak-0.22.3-r0.apk | 369.8 KiB | 2024-Oct-26 05:48 |
| py3-bitstruct-pyc-8.19.0-r1.apk | 12.8 KiB | 2024-Oct-26 05:48 |
| py3-bitstruct-8.19.0-r1.apk | 35.4 KiB | 2024-Oct-26 05:48 |
| py3-bite-parser-pyc-0.2.5-r0.apk | 23.5 KiB | 2024-Oct-29 06:51 |
| py3-bite-parser-0.2.5-r0.apk | 13.6 KiB | 2024-Oct-29 06:51 |
| py3-bidict-pyc-0.23.1-r1.apk | 28.7 KiB | 2024-Oct-26 05:48 |
| py3-bidict-0.23.1-r1.apk | 27.7 KiB | 2024-Oct-26 05:48 |
| py3-bibtexparser-pyc-1.4.3-r0.apk | 48.8 KiB | 2025-Jan-19 20:57 |
| py3-bibtexparser-1.4.3-r0.apk | 40.2 KiB | 2025-Jan-19 20:57 |
| py3-bencode-pyc-4.0.0-r1.apk | 10.4 KiB | 2024-Oct-26 05:48 |
| py3-bencode-4.0.0-r1.apk | 17.1 KiB | 2024-Oct-26 05:48 |
| py3-beartype-pyc-0.22.9-r0.apk | 744.2 KiB | 2025-Dec-16 03:34 |
| py3-beartype-0.22.9-r0.apk | 1.0 MiB | 2025-Dec-16 03:34 |
| py3-barcodenumber-pyc-0.2.1-r10.apk | 4.2 KiB | 2024-Oct-26 05:48 |
| py3-barcodenumber-0.2.1-r10.apk | 16.3 KiB | 2024-Oct-26 05:48 |
| py3-bandwidth-sdk-pyc-3.1.0-r8.apk | 69.2 KiB | 2024-Oct-26 05:48 |
| py3-bandwidth-sdk-3.1.0-r8.apk | 46.0 KiB | 2024-Oct-26 05:48 |
| py3-banal-pyc-1.0.6-r4.apk | 7.2 KiB | 2024-Oct-26 05:48 |
| py3-banal-1.0.6-r4.apk | 6.9 KiB | 2024-Oct-26 05:48 |
| py3-b2sdk-pyc-2.10.2-r0.apk | 429.4 KiB | 2025-Dec-17 03:46 |
| py3-b2sdk-2.10.2-r0.apk | 227.5 KiB | 2025-Dec-17 03:46 |
| py3-asysocks-pyc-0.2.18-r0.apk | 319.5 KiB | 2025-Nov-03 15:50 |
| py3-asysocks-examples-0.2.18-r0.apk | 35.7 KiB | 2025-Nov-03 15:50 |
| py3-asysocks-0.2.18-r0.apk | 88.3 KiB | 2025-Nov-03 15:50 |
| py3-asyauth-pyc-0.0.23-r0.apk | 185.7 KiB | 2025-Nov-03 15:50 |
| py3-asyauth-0.0.23-r0.apk | 84.1 KiB | 2025-Nov-03 15:50 |
| py3-astral-pyc-3.2-r3.apk | 58.9 KiB | 2024-Oct-26 05:48 |
| py3-astral-3.2-r3.apk | 37.3 KiB | 2024-Oct-26 05:48 |
| py3-ask-pyc-0.0.8-r8.apk | 4.5 KiB | 2024-Oct-26 05:48 |
| py3-ask-0.0.8-r8.apk | 5.0 KiB | 2024-Oct-26 05:48 |
| py3-asif-pyc-0.3.2-r3.apk | 25.8 KiB | 2024-Oct-26 05:48 |
| py3-asif-0.3.2-r3.apk | 13.3 KiB | 2024-Oct-26 05:48 |
| py3-arcus-5.3.0-r5.apk | 87.5 KiB | 2025-Jun-12 22:44 |
| py3-apt-pyc-3.1.0-r0.apk | 119.0 KiB | 2025-Dec-21 12:06 |
| py3-apt-lang-3.1.0-r0.apk | 79.5 KiB | 2025-Dec-21 12:06 |
| py3-apt-3.1.0-r0.apk | 172.7 KiB | 2025-Dec-21 12:06 |
| py3-apsw-pyc-3.51.1.0-r0.apk | 586.0 KiB | 2025-Dec-01 03:55 |
| py3-apsw-3.51.1.0-r0.apk | 912.3 KiB | 2025-Dec-01 03:55 |
| py3-apio-pyc-0.9.5-r0.apk | 77.1 KiB | 2024-Oct-26 05:48 |
| py3-apio-0.9.5-r0.apk | 72.4 KiB | 2024-Oct-26 05:48 |
| py3-apicula-pyc-0.11.1-r1.apk | 178.4 KiB | 2024-Oct-26 05:48 |
| py3-apicula-0.11.1-r1.apk | 8.5 MiB | 2024-Oct-26 05:48 |
| py3-anyascii-pyc-0.3.2-r1.apk | 3.3 KiB | 2024-Oct-26 05:48 |
| py3-anyascii-0.3.2-r1.apk | 274.7 KiB | 2024-Oct-26 05:48 |
| py3-ansible-pylibssh-1.2.2-r0.apk | 247.6 KiB | 2025-Jul-19 17:36 |
| py3-altgraph-pyc-0.17.4-r1.apk | 29.0 KiB | 2024-Oct-26 05:48 |
| py3-altgraph-0.17.4-r1.apk | 20.7 KiB | 2024-Oct-26 05:48 |
| py3-allfiles-pyc-1.0-r8.apk | 3.2 KiB | 2024-Oct-26 05:48 |
| py3-allfiles-1.0-r8.apk | 3.6 KiB | 2024-Oct-26 05:48 |
| py3-aioxmpp-pyc-0.13.3-r3.apk | 672.8 KiB | 2024-Oct-26 05:48 |
| py3-aioxmpp-doc-0.13.3-r3.apk | 18.3 KiB | 2024-Oct-26 05:48 |
| py3-aioxmpp-0.13.3-r3.apk | 387.7 KiB | 2024-Oct-26 05:48 |
| py3-aiowinreg-pyc-0.0.13-r0.apk | 44.0 KiB | 2025-Nov-03 15:50 |
| py3-aiowinreg-0.0.13-r0.apk | 21.7 KiB | 2025-Nov-03 15:50 |
| py3-aiosmb-pyc-0.4.14-r0.apk | 1.2 MiB | 2025-Nov-03 15:50 |
| py3-aiosmb-examples-0.4.14-r0.apk | 37.5 KiB | 2025-Nov-03 15:50 |
| py3-aiosmb-0.4.14-r0.apk | 585.4 KiB | 2025-Nov-03 15:50 |
| py3-aiosasl-pyc-0.5.0-r4.apk | 23.9 KiB | 2024-Oct-26 05:48 |
| py3-aiosasl-doc-0.5.0-r4.apk | 16.5 KiB | 2024-Oct-26 05:48 |
| py3-aiosasl-0.5.0-r4.apk | 29.6 KiB | 2024-Oct-26 05:48 |
| py3-aioopenssl-pyc-0.6.0-r4.apk | 19.1 KiB | 2024-Oct-26 05:48 |
| py3-aioopenssl-0.6.0-r4.apk | 20.8 KiB | 2024-Oct-26 05:48 |
| py3-aiohttp-session-pyc-2.12.1-r0.apk | 14.8 KiB | 2024-Oct-26 05:48 |
| py3-aiohttp-session-2.12.1-r0.apk | 10.4 KiB | 2024-Oct-26 05:48 |
| py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 18.9 KiB | 2024-Nov-04 21:28 |
| py3-aiohttp-remotes-1.3.0-r0.apk | 10.0 KiB | 2024-Nov-04 21:28 |
| py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9.2 KiB | 2024-Oct-26 05:48 |
| py3-aiohttp-jinja2-1.6-r2.apk | 12.4 KiB | 2024-Oct-26 05:48 |
| py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 51.1 KiB | 2024-Oct-26 05:48 |
| py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 445.9 KiB | 2024-Oct-26 05:48 |
| py3-aiodocker-pyc-0.21.0-r1.apk | 60.3 KiB | 2024-Oct-26 05:48 |
| py3-aiodocker-0.21.0-r1.apk | 29.3 KiB | 2024-Oct-26 05:48 |
| py3-agithub-pyc-2.2.2-r7.apk | 21.3 KiB | 2025-Mar-19 20:46 |
| py3-agithub-2.2.2-r7.apk | 18.8 KiB | 2025-Mar-19 20:46 |
| py3-aesedb-pyc-0.1.8-r0.apk | 75.0 KiB | 2025-Nov-03 15:50 |
| py3-aesedb-examples-0.1.8-r0.apk | 3.4 KiB | 2025-Nov-03 15:50 |
| py3-aesedb-0.1.8-r0.apk | 34.5 KiB | 2025-Nov-03 15:50 |
| py3-actdiag-pyc-3.0.0-r5.apk | 21.4 KiB | 2024-Oct-26 05:48 |
| py3-actdiag-3.0.0-r5.apk | 17.3 KiB | 2024-Oct-26 05:48 |
| pxmenu-1.0.0-r1.apk | 2.8 KiB | 2024-Oct-26 05:48 |
| pxalarm-3.0.0-r0.apk | 2.9 KiB | 2024-Oct-26 05:48 |
| pwvucontrol-lang-0.5.0-r0.apk | 11.3 KiB | 2025-Oct-21 06:35 |
| pwvucontrol-dbg-0.5.0-r0.apk | 1.9 MiB | 2025-Oct-21 06:35 |
| pwvucontrol-0.5.0-r0.apk | 436.7 KiB | 2025-Oct-21 06:35 |
| pwauth-doc-2.3.11-r2.apk | 6.8 KiB | 2024-Oct-26 05:48 |
| pwauth-2.3.11-r2.apk | 3.7 KiB | 2024-Oct-26 05:48 |
| purple-hangouts-0_git20200422-r0.apk | 231.8 KiB | 2024-Oct-26 05:48 |
| purple-facebook-0.9.6-r0.apk | 76.2 KiB | 2024-Oct-26 05:48 |
| pure-doc-1.23.0-r0.apk | 7.9 KiB | 2025-Oct-20 22:50 |
| pure-1.23.0-r0.apk | 18.3 KiB | 2025-Oct-20 22:50 |
| punch-pyc-0.1.1-r0.apk | 30.5 KiB | 2025-Dec-10 08:53 |
| punch-0.1.1-r0.apk | 29.6 KiB | 2025-Dec-10 08:53 |
| pulseview-doc-0.4.2-r8.apk | 3.7 KiB | 2024-Oct-26 05:48 |
| pulseview-0.4.2-r8.apk | 946.2 KiB | 2024-Oct-26 05:48 |
| pulsar-client-cpp-dev-3.7.1-r0.apk | 64.5 KiB | 2025-Jun-12 22:44 |
| pulsar-client-cpp-3.7.1-r0.apk | 1.4 MiB | 2025-Jun-12 22:44 |
| pully-openrc-1.0.0-r0.apk | 1.9 KiB | 2024-Oct-26 05:48 |
| pully-1.0.0-r0.apk | 2.8 KiB | 2024-Oct-26 05:48 |
| pug-0.6.5-r0.apk | 3.4 MiB | 2025-Dec-06 01:44 |
| ptylie-doc-0.2-r2.apk | 3.2 KiB | 2025-May-13 06:43 |
| ptylie-0.2-r2.apk | 11.8 KiB | 2025-May-13 06:43 |
| ptpd-openrc-2.3.1-r1.apk | 2.4 KiB | 2024-Oct-26 05:48 |
| ptpd-doc-2.3.1-r1.apk | 20.3 KiB | 2024-Oct-26 05:48 |
| ptpd-2.3.1-r1.apk | 178.3 KiB | 2024-Oct-26 05:48 |
| ptouch-print-doc-1.7-r0.apk | 3.1 KiB | 2025-Sep-13 22:08 |
| ptouch-print-1.7-r0.apk | 28.5 KiB | 2025-Sep-13 22:08 |
| psst-0_git20240526-r1.apk | 7.5 MiB | 2024-Oct-26 05:48 |
| pspp-doc-2.0.1-r1.apk | 9.0 KiB | 2025-Oct-06 20:58 |
| pspp-dbg-2.0.1-r1.apk | 4.4 MiB | 2025-Oct-06 20:58 |
| pspp-2.0.1-r1.apk | 16.9 MiB | 2025-Oct-06 20:58 |
| psi-plus-plugins-1.5.2096-r0.apk | 1.9 MiB | 2025-Sep-30 21:54 |
| psi-plus-1.5.2096-r0.apk | 8.9 MiB | 2025-Sep-30 21:54 |
| psi-notify-1.3.1-r0.apk | 10.4 KiB | 2024-Oct-26 05:48 |
| psftools-doc-1.1.2-r0.apk | 59.6 KiB | 2024-Oct-26 05:48 |
| psftools-dev-1.1.2-r0.apk | 89.5 KiB | 2024-Oct-26 05:48 |
| psftools-1.1.2-r0.apk | 260.2 KiB | 2024-Oct-26 05:48 |
| protoconf-0.1.7-r18.apk | 7.5 MiB | 2025-Dec-06 01:44 |
| protoc-gen-gorm-1.1.5-r0.apk | 2.4 MiB | 2025-Dec-25 00:02 |
| protoc-gen-go-grpc-1.77.0-r0.apk | 2.2 MiB | 2025-Dec-23 00:14 |
| protoc-gen-go-1.36.10-r1.apk | 2.2 MiB | 2025-Dec-06 01:44 |
| protoc-gen-bq-schema-3.1.0-r0.apk | 1.6 MiB | 2025-Dec-25 00:23 |
| prosody-modules-0.11_hg20201208-r0.apk | 1.7 KiB | 2024-Oct-26 05:48 |
| prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2.9 KiB | 2024-Oct-26 05:48 |
| prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2.9 KiB | 2024-Oct-26 05:48 |
| prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-Oct-26 05:48 |
| prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2.3 KiB | 2024-Oct-26 05:48 |
| prosody-mod-smacks-0.11_hg20201208-r0.apk | 8.8 KiB | 2024-Oct-26 05:48 |
| prosody-mod-server_status-0.11_hg20201208-r0.apk | 3.0 KiB | 2024-Oct-26 05:48 |
| prosody-mod-saslname-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-26 05:48 |
| prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-Oct-26 05:48 |
| prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1.9 KiB | 2024-Oct-26 05:48 |
| prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-Oct-26 05:48 |
| prosody-mod-register_redirect-0.11_hg20201208-r0.apk | 2.9 KiB | 2024-Oct-26 05:48 |
| prosody-mod-register_json-0.11_hg20201208-r0.apk | 103.7 KiB | 2024-Oct-26 05:48 |
| prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3.9 KiB | 2024-Oct-26 05:48 |
| prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk | 7.1 KiB | 2024-Oct-26 05:48 |
| prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5.8 KiB | 2024-Oct-26 05:48 |
| prosody-mod-mam-0.11_hg20201208-r0.apk | 6.1 KiB | 2024-Oct-26 05:48 |
| prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2.4 KiB | 2024-Oct-26 05:48 |
| prosody-mod-log_auth-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-26 05:48 |
| prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-Oct-26 05:48 |
| prosody-mod-http_upload_external-0.11_hg20201208-r0.apk | 3.1 KiB | 2024-Oct-26 05:48 |
| prosody-mod-host_guard-0.11_hg20201208-r0.apk | 3.1 KiB | 2024-Oct-26 05:48 |
| prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3.5 KiB | 2024-Oct-26 05:48 |
| prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7.4 KiB | 2024-Oct-26 05:48 |
| prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-Oct-26 05:48 |
| prosody-mod-broadcast-0.11_hg20201208-r0.apk | 2.1 KiB | 2024-Oct-26 05:48 |
| prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3.3 KiB | 2024-Oct-26 05:48 |
| prosody-mod-block_registrations-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-26 05:48 |
| prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2.9 KiB | 2024-Oct-26 05:48 |
| prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-26 05:48 |
| prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 3.0 KiB | 2024-Oct-26 05:48 |
| prometheus-unbound-exporter-openrc-0.4.6-r5.apk | 2.0 KiB | 2025-May-12 18:19 |
| prometheus-unbound-exporter-0.4.6-r5.apk | 3.6 MiB | 2025-May-12 18:19 |
| prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk | 2.0 KiB | 2025-Dec-06 01:44 |
| prometheus-smtp2go-exporter-0.1.1-r4.apk | 3.4 MiB | 2025-Dec-06 01:44 |
| prometheus-smartctl-exporter-openrc-0.14.0-r5.apk | 1.9 KiB | 2025-Dec-06 01:44 |
| prometheus-smartctl-exporter-0.14.0-r5.apk | 4.6 MiB | 2025-Dec-06 01:44 |
| prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk | 1.7 KiB | 2025-Dec-06 01:44 |
| prometheus-rethinkdb-exporter-1.0.1-r33.apk | 4.1 MiB | 2025-Dec-06 01:44 |
| prometheus-podman-exporter-1.18.1-r2.apk | 14.6 MiB | 2025-Dec-06 01:44 |
| prometheus-opnsense-exporter-openrc-0.0.11-r2.apk | 2.1 KiB | 2025-Dec-06 01:44 |
| prometheus-opnsense-exporter-0.0.11-r2.apk | 4.7 MiB | 2025-Dec-06 01:44 |
| prometheus-fastly-exporter-10.2.0-r0.apk | 4.7 MiB | 2025-Dec-24 06:22 |
| prometheus-ceph-exporter-openrc-4.2.5-r9.apk | 1.9 KiB | 2025-Dec-06 01:44 |
| prometheus-ceph-exporter-4.2.5-r9.apk | 3.5 MiB | 2025-Dec-06 01:44 |
| projectsandcastle-loader-0_git20200307-r1.apk | 5.0 KiB | 2024-Oct-26 05:48 |
| projectm-sdl-3.1.12-r2.apk | 326.4 KiB | 2024-Oct-26 05:48 |
| projectm-pulseaudio-doc-3.1.12-r2.apk | 2.0 KiB | 2024-Oct-26 05:48 |
| projectm-pulseaudio-3.1.12-r2.apk | 412.7 KiB | 2024-Oct-26 05:48 |
| projectm-presets-3.1.12-r2.apk | 4.3 MiB | 2024-Oct-26 05:48 |
| projectm-dev-3.1.12-r2.apk | 979.8 KiB | 2024-Oct-26 05:48 |
| projectm-3.1.12-r2.apk | 441.8 KiB | 2024-Oct-26 05:48 |
| prjtrellis-db-machxo3d-0_git20230929-r0.apk | 747.7 KiB | 2024-Oct-26 05:48 |
| prjtrellis-db-machxo3-0_git20230929-r0.apk | 1.1 MiB | 2024-Oct-26 05:48 |
| prjtrellis-db-machxo2-0_git20230929-r0.apk | 1013.3 KiB | 2024-Oct-26 05:48 |
| prjtrellis-db-machxo-0_git20230929-r0.apk | 39.2 KiB | 2024-Oct-26 05:48 |
| prjtrellis-db-ecp5-0_git20230929-r0.apk | 2.1 MiB | 2024-Oct-26 05:48 |
| prjtrellis-db-0_git20230929-r0.apk | 3.3 KiB | 2024-Oct-26 05:48 |
| prjtrellis-1.4-r2.apk | 1.2 MiB | 2024-Oct-26 05:48 |
| primesieve-libs-12.10-r0.apk | 124.9 KiB | 2025-Nov-26 04:15 |
| primesieve-doc-12.10-r0.apk | 4.1 KiB | 2025-Nov-26 04:15 |
| primesieve-dev-12.10-r0.apk | 3.0 MiB | 2025-Nov-26 04:15 |
| primesieve-12.10-r0.apk | 45.3 KiB | 2025-Nov-26 04:15 |
| primecount-libs-7.20-r0.apk | 158.9 KiB | 2025-Nov-26 04:15 |
| primecount-doc-7.20-r0.apk | 4.2 KiB | 2025-Nov-26 04:15 |
| primecount-dev-7.20-r0.apk | 4.2 MiB | 2025-Nov-26 04:15 |
| primecount-7.20-r0.apk | 30.6 KiB | 2025-Nov-26 04:15 |
| predict-doc-2.3.1-r0.apk | 16.1 KiB | 2024-Nov-24 03:56 |
| predict-2.3.1-r0.apk | 92.0 KiB | 2024-Nov-24 03:56 |
| pqiv-doc-2.12-r1.apk | 12.0 KiB | 2024-Oct-26 05:48 |
| pqiv-2.12-r1.apk | 64.0 KiB | 2024-Oct-26 05:48 |
| pptpclient-doc-1.10.0-r6.apk | 7.2 KiB | 2025-Jun-30 16:50 |
| pptpclient-1.10.0-r6.apk | 32.5 KiB | 2025-Jun-30 16:50 |
| powerstat-doc-0.04.01-r0.apk | 4.2 KiB | 2024-Oct-26 05:48 |
| powerstat-bash-completion-0.04.01-r0.apk | 2.3 KiB | 2024-Oct-26 05:48 |
| powerstat-0.04.01-r0.apk | 18.6 KiB | 2024-Oct-26 05:48 |
| powder-toy-97.0.352-r1.apk | 844.9 KiB | 2025-Jan-10 22:25 |
| pounce-openrc-3.1-r4.apk | 2.7 KiB | 2025-Sep-13 07:23 |
| pounce-doc-3.1-r4.apk | 8.5 KiB | 2025-Sep-13 07:23 |
| pounce-3.1-r4.apk | 29.6 KiB | 2025-Sep-13 07:23 |
| postgresql16-wal2json-2.6-r0.apk | 68.9 KiB | 2024-Oct-26 05:48 |
| postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk | 46.4 KiB | 2025-Nov-04 01:20 |
| postgresql-pg_variables-1.2.5_git20230922-r1.apk | 23.9 KiB | 2025-Nov-04 01:20 |
| postgresql-hll-bitcode-2.18-r0.apk | 55.4 KiB | 2024-Oct-26 05:48 |
| postgresql-hll-2.18-r0.apk | 26.9 KiB | 2024-Oct-26 05:48 |
| portsmf-dev-239-r2.apk | 20.0 KiB | 2025-Oct-14 05:02 |
| portsmf-239-r2.apk | 57.2 KiB | 2025-Oct-14 05:02 |
| porla-openrc-0.41.0-r2.apk | 2.7 KiB | 2025-Feb-25 00:56 |
| porla-doc-0.41.0-r2.apk | 2.2 KiB | 2025-Feb-25 00:56 |
| porla-0.41.0-r2.apk | 3.4 MiB | 2025-Feb-25 00:56 |
| popeye-0.22.1-r9.apk | 26.9 MiB | 2025-Dec-06 01:44 |
| pop-icon-theme-3.5.1-r0.apk | 1.3 MiB | 2025-Mar-25 16:55 |
| pop-cursor-theme-3.5.1-r0.apk | 12.8 MiB | 2025-Mar-25 16:55 |
| pongoos-loader-0_git20210704-r1.apk | 2.3 KiB | 2024-Oct-26 05:48 |
| pomo-doc-0.8.1-r28.apk | 2.7 KiB | 2025-Dec-06 01:44 |
| pomo-0.8.1-r28.apk | 1.6 MiB | 2025-Dec-06 01:44 |
| policycoreutils-lang-3.6-r1.apk | 105.4 KiB | 2024-Oct-26 05:48 |
| policycoreutils-doc-3.6-r1.apk | 22.3 KiB | 2024-Oct-26 05:48 |
| policycoreutils-bash-completion-3.6-r1.apk | 2.4 KiB | 2024-Oct-26 05:48 |
| policycoreutils-3.6-r1.apk | 56.3 KiB | 2024-Oct-26 05:48 |
| pokoy-doc-0.2.5-r0.apk | 3.0 KiB | 2024-Oct-26 05:48 |
| pokoy-0.2.5-r0.apk | 10.6 KiB | 2024-Oct-26 05:48 |
| pnmixer-lang-0.7.2-r3.apk | 24.8 KiB | 2024-Oct-26 05:48 |
| pnmixer-doc-0.7.2-r3.apk | 2.3 KiB | 2024-Oct-26 05:48 |
| pnmixer-0.7.2-r3.apk | 140.9 KiB | 2024-Oct-26 05:48 |
| pneink-theme-doc-1.3-r0.apk | 1.7 KiB | 2025-Jul-30 10:13 |
| pneink-theme-1.3-r0.apk | 9.8 KiB | 2025-Jul-30 10:13 |
| pmccabe-doc-2.8-r1.apk | 7.1 KiB | 2024-Oct-26 05:48 |
| pmccabe-2.8-r1.apk | 25.7 KiB | 2024-Oct-26 05:48 |
| plplot-libs-5.15.0-r2.apk | 198.7 KiB | 2024-Oct-26 05:48 |
| plplot-doc-5.15.0-r2.apk | 310.5 KiB | 2024-Oct-26 05:48 |
| plplot-dev-5.15.0-r2.apk | 59.0 KiB | 2024-Oct-26 05:48 |
| plplot-5.15.0-r2.apk | 31.1 KiB | 2024-Oct-26 05:48 |
| plib-1.8.5-r3.apk | 1.3 MiB | 2024-Oct-26 05:48 |
| plfit-static-1.0.1-r0.apk | 83.1 KiB | 2025-Jan-04 12:47 |
| plfit-libs-1.0.1-r0.apk | 53.9 KiB | 2025-Jan-04 12:47 |
| plfit-dev-1.0.1-r0.apk | 6.5 KiB | 2025-Jan-04 12:47 |
| plfit-1.0.1-r0.apk | 68.3 KiB | 2025-Jan-04 12:47 |
| platformio-core-pyc-6.1.7-r3.apk | 550.3 KiB | 2024-Oct-26 05:48 |
| platformio-core-6.1.7-r3.apk | 262.5 KiB | 2024-Oct-26 05:48 |
| planner-lang-0.14.92-r1.apk | 824.6 KiB | 2024-Dec-09 06:36 |
| planner-doc-0.14.92-r1.apk | 2.2 KiB | 2024-Dec-09 06:36 |
| planner-0.14.92-r1.apk | 347.8 KiB | 2024-Dec-09 06:36 |
| planarity-libs-4.0.1.0-r0.apk | 78.6 KiB | 2025-Oct-19 14:38 |
| planarity-doc-4.0.1.0-r0.apk | 13.1 KiB | 2025-Oct-19 14:38 |
| planarity-dev-4.0.1.0-r0.apk | 23.1 KiB | 2025-Oct-19 14:38 |
| planarity-4.0.1.0-r0.apk | 24.1 KiB | 2025-Oct-19 14:38 |
| plakar-doc-1.0.6-r1.apk | 2.1 KiB | 2025-Dec-06 01:44 |
| plakar-1.0.6-r1.apk | 18.6 MiB | 2025-Dec-06 01:44 |
| pixiewps-doc-1.4.2-r2.apk | 3.4 KiB | 2025-May-13 06:43 |
| pixiewps-1.4.2-r2.apk | 54.6 KiB | 2025-May-13 06:43 |
| pitivi-pyc-2023.03-r2.apk | 700.2 KiB | 2024-Dec-23 07:04 |
| pitivi-lang-2023.03-r2.apk | 678.3 KiB | 2024-Dec-23 07:04 |
| pitivi-2023.03-r2.apk | 2.7 MiB | 2024-Dec-23 07:04 |
| pithos-pyc-1.6.1-r0.apk | 147.1 KiB | 2024-Oct-26 05:48 |
| pithos-doc-1.6.1-r0.apk | 2.1 KiB | 2024-Oct-26 05:48 |
| pithos-1.6.1-r0.apk | 104.4 KiB | 2024-Oct-26 05:48 |
| piper-tts-dev-2023.11.14.2-r14.apk | 141.0 KiB | 2025-Oct-15 21:57 |
| piper-tts-2023.11.14.2-r14.apk | 123.7 KiB | 2025-Oct-15 21:57 |
| piper-phonemize-libs-2023.11.14.4-r9.apk | 68.9 KiB | 2025-Oct-15 21:57 |
| piper-phonemize-dev-2023.11.14.4-r9.apk | 394.2 KiB | 2025-Oct-15 21:57 |
| piper-phonemize-2023.11.14.4-r9.apk | 9.0 MiB | 2025-Oct-15 21:57 |
| pinentry-bemenu-0.14.0-r1.apk | 8.7 KiB | 2025-Jul-06 09:21 |
| pimd-openrc-3.0_git20220201-r0.apk | 1.8 KiB | 2024-Oct-26 05:48 |
| pimd-doc-3.0_git20220201-r0.apk | 35.0 KiB | 2024-Oct-26 05:48 |
| pimd-dense-openrc-2.1.0-r0.apk | 1.8 KiB | 2024-Oct-26 05:48 |
| pimd-dense-doc-2.1.0-r0.apk | 19.7 KiB | 2024-Oct-26 05:48 |
| pimd-dense-2.1.0-r0.apk | 53.1 KiB | 2024-Oct-26 05:48 |
| pimd-3.0_git20220201-r0.apk | 84.6 KiB | 2024-Oct-26 05:48 |
| pikchr-cmd-doc-1.0.0-r0.apk | 2.2 KiB | 2025-Oct-25 19:49 |
| pikchr-cmd-1.0.0-r0.apk | 45.5 KiB | 2025-Oct-25 19:49 |
| pihole-openrc-6.2.3-r0.apk | 1.8 KiB | 2025-Jun-21 03:06 |
| pihole-doc-6.2.3-r0.apk | 3.8 KiB | 2025-Jun-21 03:06 |
| pihole-bash-completion-6.2.3-r0.apk | 2.2 KiB | 2025-Jun-21 03:06 |
| pihole-6.2.3-r0.apk | 5.7 MiB | 2025-Jun-21 03:06 |
| piglit-0_git20241106-r1.apk | 92.0 MiB | 2025-May-13 06:43 |
| pict-rs-openrc-0.5.19-r1.apk | 1.9 KiB | 2025-Oct-14 22:48 |
| pict-rs-0.5.19-r1.apk | 5.5 MiB | 2025-Oct-14 22:48 |
| pick-doc-4.0.0-r0.apk | 3.3 KiB | 2024-Oct-26 05:48 |
| pick-4.0.0-r0.apk | 9.9 KiB | 2024-Oct-26 05:48 |
| phpactor-2025.10.17.0-r0.apk | 3.6 MiB | 2025-Nov-19 12:57 |
| php85-snappy-0.2.3-r0.apk | 5.4 KiB | 2025-Dec-25 09:03 |
| php85-pecl-vld-0.19.1-r1.apk | 16.2 KiB | 2025-Sep-24 22:33 |
| php85-pecl-solr-2.9.1-r0.apk | 89.4 KiB | 2025-Nov-25 14:10 |
| php85-pecl-oauth-2.0.10-r0.apk | 33.8 KiB | 2025-Oct-10 03:37 |
| php85-pecl-luasandbox-4.1.3-r0.apk | 31.4 KiB | 2025-Dec-20 20:45 |
| php85-pecl-ev-1.2.2-r0.apk | 41.2 KiB | 2025-Nov-08 02:25 |
| php84-snappy-0.2.3-r0.apk | 5.5 KiB | 2025-Apr-10 03:19 |
| php84-pecl-vld-0.19.1-r0.apk | 16.2 KiB | 2025-Jul-20 22:32 |
| php84-pecl-uv-0.3.0-r0.apk | 53.9 KiB | 2024-Oct-28 21:47 |
| php84-pecl-solr-2.9.1-r0.apk | 89.3 KiB | 2025-Nov-25 14:10 |
| php84-pecl-phpy-1.0.11-r1.apk | 41.5 KiB | 2025-May-13 06:43 |
| php84-pecl-oauth-2.0.10-r0.apk | 36.2 KiB | 2025-Oct-10 03:37 |
| php84-pecl-memprof-3.1.0-r0.apk | 14.3 KiB | 2025-Feb-25 02:23 |
| php84-pecl-mcrypt-1.0.9-r0.apk | 15.1 KiB | 2025-Aug-05 21:42 |
| php84-pecl-ev-1.2.2-r0.apk | 41.2 KiB | 2025-Nov-08 02:25 |
| php84-pecl-csv-0.4.3-r0.apk | 10.4 KiB | 2025-Feb-26 00:45 |
| php83-pecl-zmq-1.1.4-r0.apk | 32.1 KiB | 2024-Oct-26 05:48 |
| php83-pecl-vld-0.19.1-r0.apk | 15.9 KiB | 2025-Jul-20 22:32 |
| php83-pecl-uv-0.3.0-r0.apk | 53.8 KiB | 2024-Oct-26 05:48 |
| php83-pecl-phpy-1.0.11-r1.apk | 41.5 KiB | 2025-May-13 06:43 |
| php83-pecl-oauth-2.0.10-r0.apk | 36.2 KiB | 2025-Oct-10 03:37 |
| php83-pecl-jsmin-3.0.0-r0.apk | 10.9 KiB | 2024-Oct-26 05:48 |
| php83-pecl-excimer-1.2.5-r0.apk | 21.0 KiB | 2025-May-21 00:41 |
| php83-pecl-ev-1.2.2-r0.apk | 41.1 KiB | 2025-Nov-08 02:25 |
| php83-pecl-eio-3.1.4-r0.apk | 29.0 KiB | 2025-Jul-21 00:26 |
| php83-pecl-apfd-1.0.3-r0.apk | 4.5 KiB | 2024-Oct-26 05:48 |
| php82-zip-8.2.30-r1.apk | 25.3 KiB | 2025-Dec-18 05:52 |
| php82-xsl-8.2.30-r1.apk | 12.8 KiB | 2025-Dec-18 05:52 |
| php82-xmlwriter-8.2.30-r1.apk | 10.4 KiB | 2025-Dec-18 05:52 |
| php82-xmlreader-8.2.30-r1.apk | 12.8 KiB | 2025-Dec-18 05:52 |
| php82-xml-8.2.30-r1.apk | 17.9 KiB | 2025-Dec-18 05:52 |
| php82-tokenizer-8.2.30-r1.apk | 11.4 KiB | 2025-Dec-18 05:52 |
| php82-tidy-8.2.30-r1.apk | 17.9 KiB | 2025-Dec-18 05:52 |
| php82-sysvshm-8.2.30-r1.apk | 6.6 KiB | 2025-Dec-18 05:52 |
| php82-sysvsem-8.2.30-r1.apk | 5.7 KiB | 2025-Dec-18 05:52 |
| php82-sysvmsg-8.2.30-r1.apk | 7.7 KiB | 2025-Dec-18 05:52 |
| php82-sqlite3-8.2.30-r1.apk | 20.5 KiB | 2025-Dec-18 05:52 |
| php82-sodium-8.2.30-r1.apk | 23.9 KiB | 2025-Dec-18 05:52 |
| php82-sockets-8.2.30-r1.apk | 33.8 KiB | 2025-Dec-18 05:52 |
| php82-soap-8.2.30-r1.apk | 129.0 KiB | 2025-Dec-18 05:52 |
| php82-snmp-8.2.30-r1.apk | 20.3 KiB | 2025-Dec-18 05:52 |
| php82-snappy-0.2.3-r0.apk | 5.4 KiB | 2025-Apr-10 03:19 |
| php82-simplexml-8.2.30-r1.apk | 21.1 KiB | 2025-Dec-18 05:52 |
| php82-shmop-8.2.30-r1.apk | 6.0 KiB | 2025-Dec-18 05:52 |
| php82-session-8.2.30-r1.apk | 33.7 KiB | 2025-Dec-18 05:52 |
| php82-pspell-8.2.30-r1.apk | 7.5 KiB | 2025-Dec-18 05:52 |
| php82-posix-8.2.30-r1.apk | 10.2 KiB | 2025-Dec-18 05:52 |
| php82-phpdbg-8.2.30-r1.apk | 1.8 MiB | 2025-Dec-18 05:52 |
| php82-phar-8.2.30-r1.apk | 113.7 KiB | 2025-Dec-18 05:52 |
| php82-pgsql-8.2.30-r1.apk | 41.5 KiB | 2025-Dec-18 05:52 |
| php82-pecl-zstd-0.15.2-r0.apk | 17.1 KiB | 2025-Oct-24 11:40 |
| php82-pecl-zephir_parser-1.8.0-r0.apk | 61.9 KiB | 2025-Sep-29 21:34 |
| php82-pecl-yaml-2.3.0-r0.apk | 19.2 KiB | 2025-Nov-15 11:10 |
| php82-pecl-xlswriter-1.5.8-r0.apk | 225.6 KiB | 2025-Oct-24 11:40 |
| php82-pecl-xhprof-assets-2.3.10-r0.apk | 799.9 KiB | 2025-Oct-24 11:40 |
| php82-pecl-xhprof-2.3.10-r0.apk | 13.3 KiB | 2025-Oct-24 11:40 |
| php82-pecl-xdebug-3.5.0-r0.apk | 152.6 KiB | 2025-Dec-06 01:44 |
| php82-pecl-vld-0.19.1-r0.apk | 15.9 KiB | 2025-Jul-20 22:32 |
| php82-pecl-vips-1.0.13-r0.apk | 16.9 KiB | 2025-Oct-24 11:40 |
| php82-pecl-uuid-1.3.0-r0.apk | 6.9 KiB | 2025-Oct-24 11:40 |
| php82-pecl-uploadprogress-doc-2.0.2-r2.apk | 9.6 KiB | 2025-Oct-24 11:40 |
| php82-pecl-uploadprogress-2.0.2-r2.apk | 6.8 KiB | 2025-Oct-24 11:40 |
| php82-pecl-timezonedb-2025.2-r0.apk | 189.6 KiB | 2025-Oct-24 11:40 |
| php82-pecl-teds-1.3.0-r0.apk | 132.5 KiB | 2024-Oct-26 05:48 |
| php82-pecl-swoole-dev-6.1.5-r0.apk | 220.3 KiB | 2025-Dec-22 07:24 |
| php82-pecl-swoole-6.1.5-r0.apk | 931.0 KiB | 2025-Dec-22 07:24 |
| php82-pecl-ssh2-1.4.1-r0.apk | 27.6 KiB | 2025-Oct-24 11:40 |
| php82-pecl-smbclient-1.2.0_pre-r0.apk | 20.8 KiB | 2025-Oct-24 11:40 |
| php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 31.3 KiB | 2024-Oct-26 05:48 |
| php82-pecl-redis-6.3.0-r0.apk | 189.5 KiB | 2025-Nov-08 01:49 |
| php82-pecl-rdkafka-6.0.5-r0.apk | 37.2 KiB | 2025-Oct-24 11:40 |
| php82-pecl-psr-1.2.0-r1.apk | 16.9 KiB | 2025-Oct-24 11:40 |
| php82-pecl-protobuf-4.32.1-r0.apk | 147.6 KiB | 2025-Oct-24 11:40 |
| php82-pecl-pcov-1.0.12-r0.apk | 10.1 KiB | 2025-Oct-24 11:40 |
| php82-pecl-opentelemetry-1.2.1-r0.apk | 13.4 KiB | 2025-Oct-24 11:40 |
| php82-pecl-oauth-2.0.10-r0.apk | 36.2 KiB | 2025-Oct-10 03:37 |
| php82-pecl-msgpack-3.0.0-r0.apk | 27.4 KiB | 2025-Oct-24 11:40 |
| php82-pecl-mongodb-2.1.4-r0.apk | 827.8 KiB | 2025-Oct-24 11:40 |
| php82-pecl-memcached-3.4.0-r0.apk | 47.1 KiB | 2025-Oct-24 11:40 |
| php82-pecl-memcache-8.2-r2.apk | 43.7 KiB | 2025-Oct-24 11:40 |
| php82-pecl-mcrypt-1.0.9-r0.apk | 15.2 KiB | 2025-Oct-24 11:40 |
| php82-pecl-maxminddb-1.13.0-r0.apk | 8.4 KiB | 2025-Nov-21 09:43 |
| php82-pecl-mailparse-3.1.9-r0.apk | 24.1 KiB | 2025-Oct-24 11:40 |
| php82-pecl-lzf-1.7.0-r0.apk | 7.7 KiB | 2025-Oct-24 11:40 |
| php82-pecl-luasandbox-4.1.2-r0.apk | 30.9 KiB | 2025-Oct-24 11:40 |
| php82-pecl-jsmin-3.0.0-r0.apk | 11.0 KiB | 2025-Feb-12 23:30 |
| php82-pecl-immutable_cache-6.1.0-r0.apk | 40.3 KiB | 2024-Oct-26 05:48 |
| php82-pecl-imagick-dev-3.8.1-r0.apk | 2.3 KiB | 2025-Nov-28 09:10 |
| php82-pecl-imagick-3.8.1-r0.apk | 107.2 KiB | 2025-Nov-28 09:10 |
| php82-pecl-igbinary-3.2.17_rc1-r0.apk | 36.9 KiB | 2025-Nov-28 06:26 |
| php82-pecl-grpc-1.76.0-r0.apk | 3.9 MiB | 2025-Oct-25 04:34 |
| php82-pecl-excimer-1.2.5-r0.apk | 21.0 KiB | 2025-May-21 00:41 |
| php82-pecl-event-3.1.4-r0.apk | 49.7 KiB | 2025-Oct-24 11:40 |
| php82-pecl-ds-1.6.0-r0.apk | 59.6 KiB | 2025-Oct-24 11:40 |
| php82-pecl-decimal-1.5.0-r1.apk | 18.6 KiB | 2025-Oct-24 11:40 |
| php82-pecl-brotli-0.18.3-r0.apk | 15.3 KiB | 2025-Dec-01 11:45 |
| php82-pecl-ast-1.1.3-r0.apk | 21.2 KiB | 2025-Oct-24 11:40 |
| php82-pecl-apfd-1.0.3-r0.apk | 4.5 KiB | 2024-Oct-26 05:48 |
| php82-pecl-apcu-5.1.28-r0.apk | 56.3 KiB | 2025-Dec-08 00:54 |
| php82-pecl-amqp-2.1.2-r0.apk | 55.7 KiB | 2025-Oct-24 11:40 |
| php82-pear-8.2.30-r1.apk | 337.9 KiB | 2025-Dec-18 05:52 |
| php82-pdo_sqlite-8.2.30-r1.apk | 12.2 KiB | 2025-Dec-18 05:52 |
| php82-pdo_pgsql-8.2.30-r1.apk | 17.9 KiB | 2025-Dec-18 05:52 |
| php82-pdo_odbc-8.2.30-r1.apk | 12.9 KiB | 2025-Dec-18 05:52 |
| php82-pdo_mysql-8.2.30-r1.apk | 12.5 KiB | 2025-Dec-18 05:52 |
| php82-pdo_dblib-8.2.30-r1.apk | 11.3 KiB | 2025-Dec-18 05:52 |
| php82-pdo-8.2.30-r1.apk | 40.3 KiB | 2025-Dec-18 05:52 |
| php82-pdlib-1.1.0-r1.apk | 495.0 KiB | 2024-Oct-26 05:48 |
| php82-pcntl-8.2.30-r1.apk | 14.2 KiB | 2025-Dec-18 05:52 |
| php82-openssl-8.2.30-r1.apk | 68.8 KiB | 2025-Dec-18 05:52 |
| php82-opcache-8.2.30-r1.apk | 68.7 KiB | 2025-Dec-18 05:52 |
| php82-odbc-8.2.30-r1.apk | 22.2 KiB | 2025-Dec-18 05:52 |
| php82-mysqlnd-8.2.30-r1.apk | 71.3 KiB | 2025-Dec-18 05:52 |
| php82-mysqli-8.2.30-r1.apk | 36.6 KiB | 2025-Dec-18 05:52 |
| php82-mbstring-8.2.30-r1.apk | 630.1 KiB | 2025-Dec-18 05:52 |
| php82-litespeed-8.2.30-r1.apk | 1.7 MiB | 2025-Dec-18 05:52 |
| php82-ldap-8.2.30-r1.apk | 30.1 KiB | 2025-Dec-18 05:52 |
| php82-intl-8.2.30-r1.apk | 148.0 KiB | 2025-Dec-18 05:52 |
| php82-imap-8.2.30-r1.apk | 30.8 KiB | 2025-Dec-18 05:52 |
| php82-iconv-8.2.30-r1.apk | 17.3 KiB | 2025-Dec-18 05:52 |
| php82-gmp-8.2.30-r1.apk | 19.4 KiB | 2025-Dec-18 05:52 |
| php82-gettext-8.2.30-r1.apk | 5.8 KiB | 2025-Dec-18 05:52 |
| php82-gd-8.2.30-r1.apk | 119.9 KiB | 2025-Dec-18 05:52 |
| php82-ftp-8.2.30-r1.apk | 20.9 KiB | 2025-Dec-18 05:52 |
| php82-fpm-8.2.30-r1.apk | 1.8 MiB | 2025-Dec-18 05:52 |
| php82-fileinfo-8.2.30-r1.apk | 376.1 KiB | 2025-Dec-18 05:52 |
| php82-ffi-8.2.30-r1.apk | 75.7 KiB | 2025-Dec-18 05:52 |
| php82-exif-8.2.30-r1.apk | 29.1 KiB | 2025-Dec-18 05:52 |
| php82-enchant-8.2.30-r1.apk | 7.9 KiB | 2025-Dec-18 05:52 |
| php82-embed-8.2.30-r1.apk | 1.7 MiB | 2025-Dec-18 05:52 |
| php82-dom-8.2.30-r1.apk | 54.8 KiB | 2025-Dec-18 05:52 |
| php82-doc-8.2.30-r1.apk | 73.2 KiB | 2025-Dec-18 05:52 |
| php82-dev-8.2.30-r1.apk | 968.3 KiB | 2025-Dec-18 05:52 |
| php82-dbg-8.2.30-r1.apk | 38.8 MiB | 2025-Dec-18 05:52 |
| php82-dba-8.2.30-r1.apk | 20.9 KiB | 2025-Dec-18 05:52 |
| php82-curl-8.2.30-r1.apk | 36.5 KiB | 2025-Dec-18 05:52 |
| php82-ctype-8.2.30-r1.apk | 4.7 KiB | 2025-Dec-18 05:52 |
| php82-common-8.2.30-r1.apk | 25.3 KiB | 2025-Dec-18 05:52 |
| php82-cgi-8.2.30-r1.apk | 1.7 MiB | 2025-Dec-18 05:52 |
| php82-calendar-8.2.30-r1.apk | 12.9 KiB | 2025-Dec-18 05:52 |
| php82-bz2-8.2.30-r1.apk | 9.7 KiB | 2025-Dec-18 05:52 |
| php82-bcmath-8.2.30-r1.apk | 15.4 KiB | 2025-Dec-18 05:52 |
| php82-apache2-8.2.30-r1.apk | 1.7 MiB | 2025-Dec-18 05:52 |
| php82-8.2.30-r1.apk | 1.7 MiB | 2025-Dec-18 05:52 |
| php81-zip-8.1.34-r0.apk | 22.8 KiB | 2025-Dec-17 18:30 |
| php81-xsl-8.1.34-r0.apk | 12.9 KiB | 2025-Dec-17 18:30 |
| php81-xmlwriter-8.1.34-r0.apk | 10.4 KiB | 2025-Dec-17 18:30 |
| php81-xmlreader-8.1.34-r0.apk | 12.2 KiB | 2025-Dec-17 18:30 |
| php81-xml-8.1.34-r0.apk | 17.8 KiB | 2025-Dec-17 18:30 |
| php81-tokenizer-8.1.34-r0.apk | 11.5 KiB | 2025-Dec-17 18:30 |
| php81-tidy-8.1.34-r0.apk | 17.3 KiB | 2025-Dec-17 18:30 |
| php81-tideways_xhprof-5.0.4-r1.apk | 13.6 KiB | 2024-Oct-26 05:48 |
| php81-sysvshm-8.1.34-r0.apk | 6.5 KiB | 2025-Dec-17 18:30 |
| php81-sysvsem-8.1.34-r0.apk | 5.8 KiB | 2025-Dec-17 18:30 |
| php81-sysvmsg-8.1.34-r0.apk | 7.4 KiB | 2025-Dec-17 18:30 |
| php81-sqlite3-8.1.34-r0.apk | 19.1 KiB | 2025-Dec-17 18:30 |
| php81-sodium-8.1.34-r0.apk | 22.8 KiB | 2025-Dec-17 18:30 |
| php81-sockets-8.1.34-r0.apk | 32.9 KiB | 2025-Dec-17 18:30 |
| php81-soap-8.1.34-r0.apk | 126.6 KiB | 2025-Dec-17 18:30 |
| php81-snmp-8.1.34-r0.apk | 19.2 KiB | 2025-Dec-17 18:30 |
| php81-simplexml-8.1.34-r0.apk | 21.0 KiB | 2025-Dec-17 18:30 |
| php81-shmop-8.1.34-r0.apk | 6.0 KiB | 2025-Dec-17 18:30 |
| php81-session-8.1.34-r0.apk | 33.1 KiB | 2025-Dec-17 18:30 |
| php81-pspell-8.1.34-r0.apk | 7.5 KiB | 2025-Dec-17 18:30 |
| php81-posix-8.1.34-r0.apk | 10.2 KiB | 2025-Dec-17 18:30 |
| php81-phpdbg-8.1.34-r0.apk | 1.7 MiB | 2025-Dec-17 18:30 |
| php81-phar-8.1.34-r0.apk | 113.3 KiB | 2025-Dec-17 18:30 |
| php81-pgsql-8.1.34-r0.apk | 41.2 KiB | 2025-Dec-17 18:30 |
| php81-pecl-zstd-0.15.2-r0.apk | 17.1 KiB | 2025-Sep-10 05:49 |
| php81-pecl-zephir_parser-1.8.0-r0.apk | 61.9 KiB | 2025-Sep-29 21:34 |
| php81-pecl-yaml-2.3.0-r0.apk | 19.1 KiB | 2025-Nov-15 11:10 |
| php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 36.2 KiB | 2024-Oct-26 05:48 |
| php81-pecl-xlswriter-1.5.8-r0.apk | 230.1 KiB | 2024-Nov-11 10:44 |
| php81-pecl-xhprof-assets-2.3.10-r0.apk | 800.6 KiB | 2024-Oct-26 05:48 |
| php81-pecl-xhprof-2.3.10-r0.apk | 12.8 KiB | 2024-Oct-26 05:48 |
| php81-pecl-xdebug-3.5.0-r0.apk | 152.2 KiB | 2025-Dec-06 01:44 |
| php81-pecl-vips-1.0.13-r0.apk | 17.3 KiB | 2024-Oct-26 05:48 |
| php81-pecl-uuid-1.3.0-r0.apk | 6.8 KiB | 2025-May-13 08:18 |
| php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 9.9 KiB | 2024-Oct-26 05:48 |
| php81-pecl-uploadprogress-2.0.2-r1.apk | 6.6 KiB | 2024-Oct-26 05:48 |
| php81-pecl-timezonedb-2025.2-r0.apk | 192.3 KiB | 2025-Mar-27 20:06 |
| php81-pecl-swoole-dev-6.1.5-r0.apk | 220.3 KiB | 2025-Dec-22 07:47 |
| php81-pecl-swoole-6.1.5-r0.apk | 929.1 KiB | 2025-Dec-22 07:47 |
| php81-pecl-ssh2-1.4.1-r0.apk | 28.7 KiB | 2024-Oct-26 05:48 |
| php81-pecl-smbclient-1.2.0_pre-r0.apk | 21.5 KiB | 2024-Dec-11 04:02 |
| php81-pecl-redis-6.3.0-r0.apk | 188.0 KiB | 2025-Nov-08 01:49 |
| php81-pecl-rdkafka-6.0.5-r0.apk | 38.7 KiB | 2024-Nov-04 21:52 |
| php81-pecl-psr-1.2.0-r0.apk | 19.2 KiB | 2024-Oct-26 05:48 |
| php81-pecl-protobuf-4.32.1-r0.apk | 147.6 KiB | 2025-Oct-01 11:00 |
| php81-pecl-pcov-1.0.12-r0.apk | 9.9 KiB | 2024-Dec-05 02:17 |
| php81-pecl-opentelemetry-1.2.1-r0.apk | 13.4 KiB | 2025-Oct-05 08:15 |
| php81-pecl-oauth-2.0.10-r0.apk | 35.9 KiB | 2025-Oct-10 03:37 |
| php81-pecl-msgpack-3.0.0-r0.apk | 27.7 KiB | 2024-Oct-26 05:48 |
| php81-pecl-mongodb-2.1.4-r0.apk | 827.6 KiB | 2025-Oct-09 13:09 |
| php81-pecl-memprof-3.1.0-r0.apk | 14.3 KiB | 2025-Feb-25 02:23 |
| php81-pecl-memcached-3.4.0-r0.apk | 46.8 KiB | 2025-Oct-13 19:35 |
| php81-pecl-memcache-8.2-r1.apk | 44.9 KiB | 2024-Oct-26 05:48 |
| php81-pecl-mcrypt-1.0.9-r0.apk | 15.2 KiB | 2025-Aug-05 21:42 |
| php81-pecl-maxminddb-1.13.0-r0.apk | 8.4 KiB | 2025-Nov-21 09:43 |
| php81-pecl-mailparse-3.1.9-r0.apk | 24.1 KiB | 2025-Sep-30 21:39 |
| php81-pecl-lzf-1.7.0-r0.apk | 7.5 KiB | 2024-Oct-26 05:48 |
| php81-pecl-luasandbox-4.1.2-r0.apk | 31.1 KiB | 2024-Oct-26 05:48 |
| php81-pecl-jsmin-3.0.0-r0.apk | 10.9 KiB | 2024-Oct-26 05:48 |
| php81-pecl-immutable_cache-6.1.0-r0.apk | 39.9 KiB | 2024-Oct-26 05:48 |
| php81-pecl-imagick-dev-3.8.1-r0.apk | 2.3 KiB | 2025-Nov-28 09:10 |
| php81-pecl-imagick-3.8.1-r0.apk | 107.0 KiB | 2025-Nov-28 09:10 |
| php81-pecl-igbinary-3.2.17_rc1-r0.apk | 36.6 KiB | 2025-Nov-28 06:26 |
| php81-pecl-grpc-1.76.0-r0.apk | 3.9 MiB | 2025-Oct-25 04:34 |
| php81-pecl-event-3.1.4-r0.apk | 52.3 KiB | 2024-Oct-26 05:48 |
| php81-pecl-ds-1.6.0-r0.apk | 59.6 KiB | 2025-May-07 22:16 |
| php81-pecl-decimal-1.5.0-r1.apk | 18.9 KiB | 2024-Oct-26 05:48 |
| php81-pecl-csv-0.4.3-r0.apk | 10.4 KiB | 2025-Feb-26 00:45 |
| php81-pecl-brotli-0.18.3-r0.apk | 15.3 KiB | 2025-Dec-01 11:45 |
| php81-pecl-ast-1.1.3-r0.apk | 21.1 KiB | 2025-Aug-11 02:43 |
| php81-pecl-apcu-5.1.28-r0.apk | 56.0 KiB | 2025-Dec-08 00:54 |
| php81-pecl-amqp-2.1.2-r0.apk | 58.8 KiB | 2024-Oct-26 05:48 |
| php81-pear-8.1.34-r0.apk | 337.9 KiB | 2025-Dec-17 18:30 |
| php81-pdo_sqlite-8.1.34-r0.apk | 12.2 KiB | 2025-Dec-17 18:30 |
| php81-pdo_pgsql-8.1.34-r0.apk | 17.9 KiB | 2025-Dec-17 18:30 |
| php81-pdo_odbc-8.1.34-r0.apk | 12.4 KiB | 2025-Dec-17 18:30 |
| php81-pdo_mysql-8.1.34-r0.apk | 12.5 KiB | 2025-Dec-17 18:30 |
| php81-pdo_dblib-8.1.34-r0.apk | 11.3 KiB | 2025-Dec-17 18:30 |
| php81-pdo-8.1.34-r0.apk | 38.6 KiB | 2025-Dec-17 18:30 |
| php81-pcntl-8.1.34-r0.apk | 12.6 KiB | 2025-Dec-17 18:30 |
| php81-openssl-8.1.34-r0.apk | 67.3 KiB | 2025-Dec-17 18:30 |
| php81-opcache-8.1.34-r0.apk | 66.1 KiB | 2025-Dec-17 18:30 |
| php81-odbc-8.1.34-r0.apk | 21.5 KiB | 2025-Dec-17 18:30 |
| php81-mysqlnd-8.1.34-r0.apk | 71.2 KiB | 2025-Dec-17 18:30 |
| php81-mysqli-8.1.34-r0.apk | 35.5 KiB | 2025-Dec-17 18:30 |
| php81-mbstring-8.1.34-r0.apk | 566.2 KiB | 2025-Dec-17 18:30 |
| php81-litespeed-8.1.34-r0.apk | 1.7 MiB | 2025-Dec-17 18:30 |
| php81-ldap-8.1.34-r0.apk | 29.8 KiB | 2025-Dec-17 18:30 |
| php81-intl-8.1.34-r0.apk | 129.8 KiB | 2025-Dec-17 18:30 |
| php81-imap-8.1.34-r0.apk | 30.5 KiB | 2025-Dec-17 18:30 |
| php81-iconv-8.1.34-r0.apk | 16.8 KiB | 2025-Dec-17 18:30 |
| php81-gmp-8.1.34-r0.apk | 18.8 KiB | 2025-Dec-17 18:30 |
| php81-gettext-8.1.34-r0.apk | 5.8 KiB | 2025-Dec-17 18:30 |
| php81-gd-8.1.34-r0.apk | 119.2 KiB | 2025-Dec-17 18:30 |
| php81-ftp-8.1.34-r0.apk | 20.6 KiB | 2025-Dec-17 18:30 |
| php81-fpm-8.1.34-r0.apk | 1.7 MiB | 2025-Dec-17 18:30 |
| php81-fileinfo-8.1.34-r0.apk | 375.9 KiB | 2025-Dec-17 18:30 |
| php81-ffi-8.1.34-r0.apk | 74.1 KiB | 2025-Dec-17 18:30 |
| php81-exif-8.1.34-r0.apk | 29.1 KiB | 2025-Dec-17 18:30 |
| php81-enchant-8.1.34-r0.apk | 7.9 KiB | 2025-Dec-17 18:30 |
| php81-embed-8.1.34-r0.apk | 1.7 MiB | 2025-Dec-17 18:30 |
| php81-dom-8.1.34-r0.apk | 53.7 KiB | 2025-Dec-17 18:30 |
| php81-doc-8.1.34-r0.apk | 68.3 KiB | 2025-Dec-17 18:30 |
| php81-dev-8.1.34-r0.apk | 939.1 KiB | 2025-Dec-17 18:30 |
| php81-dba-8.1.34-r0.apk | 20.2 KiB | 2025-Dec-17 18:30 |
| php81-curl-8.1.34-r0.apk | 34.6 KiB | 2025-Dec-17 18:30 |
| php81-ctype-8.1.34-r0.apk | 4.7 KiB | 2025-Dec-17 18:30 |
| php81-common-8.1.34-r0.apk | 25.2 KiB | 2025-Dec-17 18:30 |
| php81-cgi-8.1.34-r0.apk | 1.7 MiB | 2025-Dec-17 18:30 |
| php81-calendar-8.1.34-r0.apk | 12.7 KiB | 2025-Dec-17 18:30 |
| php81-bz2-8.1.34-r0.apk | 9.7 KiB | 2025-Dec-17 18:30 |
| php81-bcmath-8.1.34-r0.apk | 15.4 KiB | 2025-Dec-17 18:30 |
| php81-apache2-8.1.34-r0.apk | 1.7 MiB | 2025-Dec-17 18:30 |
| php81-8.1.34-r0.apk | 1.7 MiB | 2025-Dec-17 18:30 |
| phosh-tour-systemd-0.50.0-r2.apk | 1.8 KiB | 2025-Dec-15 22:15 |
| phosh-tour-lang-0.50.0-r2.apk | 32.9 KiB | 2025-Dec-15 22:15 |
| phosh-tour-0.50.0-r2.apk | 39.0 KiB | 2025-Dec-15 22:15 |
| phosh-osk-data-uk-0.42.0-r0.apk | 16.4 MiB | 2025-Dec-17 03:43 |
| phosh-osk-data-se-0.42.0-r0.apk | 15.1 MiB | 2025-Dec-17 03:43 |
| phosh-osk-data-ru-0.42.0-r0.apk | 19.9 MiB | 2025-Dec-17 03:43 |
| phosh-osk-data-pt-0.42.0-r0.apk | 56.7 MiB | 2025-Dec-17 03:43 |
| phosh-osk-data-pl-0.42.0-r0.apk | 56.7 MiB | 2025-Dec-17 03:43 |
| phosh-osk-data-nl-0.42.0-r0.apk | 53.5 MiB | 2025-Dec-17 03:43 |
| phosh-osk-data-it-0.42.0-r0.apk | 58.0 MiB | 2025-Dec-17 03:43 |
| phosh-osk-data-fi-0.42.0-r0.apk | 63.7 MiB | 2025-Dec-17 03:42 |
| phosh-osk-data-es-0.42.0-r0.apk | 52.1 MiB | 2025-Dec-17 03:42 |
| phosh-osk-data-de-0.42.0-r0.apk | 64.3 MiB | 2025-Dec-17 03:42 |
| phosh-osk-data-0.42.0-r0.apk | 1.3 KiB | 2025-Dec-17 03:42 |
| phoronix-test-suite-doc-10.8.4-r2.apk | 287.5 KiB | 2024-Oct-26 05:48 |
| phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1.8 KiB | 2024-Oct-26 05:48 |
| phoronix-test-suite-10.8.4-r2.apk | 3.9 MiB | 2024-Oct-26 05:48 |
| pfetch-doc-1.9.4-r0.apk | 5.7 KiB | 2025-Oct-21 23:59 |
| pfetch-1.9.4-r0.apk | 23.3 KiB | 2025-Oct-21 23:59 |
| petitboot-doc-1.15-r0.apk | 8.1 KiB | 2025-Nov-15 13:32 |
| petitboot-dbg-1.15-r0.apk | 589.9 KiB | 2025-Nov-15 13:32 |
| petitboot-1.15-r0.apk | 190.6 KiB | 2025-Nov-15 13:32 |
| persistent-cache-cpp-doc-1.0.9-r0.apk | 3.2 KiB | 2025-Sep-08 10:47 |
| persistent-cache-cpp-dev-1.0.9-r0.apk | 17.8 KiB | 2025-Sep-08 10:47 |
| persistent-cache-cpp-1.0.9-r0.apk | 45.1 KiB | 2025-Sep-08 10:47 |
| perl-xs-object-magic-doc-0.05-r0.apk | 5.3 KiB | 2025-Dec-13 16:47 |
| perl-xs-object-magic-0.05-r0.apk | 10.4 KiB | 2025-Dec-13 16:47 |
| perl-xml-xpathengine-doc-0.14-r0.apk | 11.0 KiB | 2025-Jul-09 01:54 |
| perl-xml-xpathengine-0.14-r0.apk | 21.7 KiB | 2025-Jul-09 01:54 |
| perl-xml-tokeparser-doc-0.05-r0.apk | 6.9 KiB | 2025-Dec-13 16:47 |
| perl-xml-tokeparser-0.05-r0.apk | 7.9 KiB | 2025-Dec-13 16:47 |
| perl-xml-rpc-doc-2.1-r0.apk | 4.9 KiB | 2024-Oct-26 05:48 |
| perl-xml-rpc-2.1-r0.apk | 5.7 KiB | 2024-Oct-26 05:48 |
| perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5.4 KiB | 2024-Oct-26 05:48 |
| perl-xml-parser-style-easytree-0.09-r0.apk | 5.0 KiB | 2024-Oct-26 05:48 |
| perl-xml-feed-doc-1.0.0-r0.apk | 12.9 KiB | 2025-Nov-18 03:34 |
| perl-xml-feed-1.0.0-r0.apk | 14.3 KiB | 2025-Nov-18 03:34 |
| perl-xml-descent-doc-1.04-r0.apk | 7.1 KiB | 2025-Dec-13 16:47 |
| perl-xml-descent-1.04-r0.apk | 7.5 KiB | 2025-Dec-13 16:47 |
| perl-xml-bare-doc-0.53-r14.apk | 11.5 KiB | 2025-Jun-30 16:50 |
| perl-xml-bare-0.53-r14.apk | 29.0 KiB | 2025-Jun-30 16:50 |
| perl-xml-atom-doc-0.43-r0.apk | 15.9 KiB | 2024-Oct-26 05:48 |
| perl-xml-atom-0.43-r0.apk | 19.6 KiB | 2024-Oct-26 05:48 |
| perl-x11-xcb-doc-0.24-r0.apk | 13.3 KiB | 2025-Dec-13 16:47 |
| perl-x11-xcb-0.24-r0.apk | 162.0 KiB | 2025-Dec-13 16:47 |
| perl-x11-korgwm-doc-5.0-r0.apk | 11.5 KiB | 2025-Dec-13 16:47 |
| perl-x11-korgwm-5.0-r0.apk | 40.7 KiB | 2025-Dec-13 16:47 |
| perl-x-tiny-doc-0.22-r0.apk | 7.6 KiB | 2024-Oct-26 05:48 |
| perl-x-tiny-0.22-r0.apk | 6.8 KiB | 2024-Oct-26 05:48 |
| perl-web-scraper-doc-0.38-r0.apk | 8.1 KiB | 2025-Jul-16 06:03 |
| perl-web-scraper-0.38-r0.apk | 7.5 KiB | 2025-Jul-16 06:03 |
| perl-web-machine-doc-0.17-r0.apk | 28.7 KiB | 2025-Jun-14 03:17 |
| perl-web-machine-0.17-r0.apk | 20.3 KiB | 2025-Jun-14 03:17 |
| perl-wanted-doc-0.1.0-r0.apk | 10.7 KiB | 2025-Aug-09 18:49 |
| perl-wanted-0.1.0-r0.apk | 21.0 KiB | 2025-Aug-09 18:49 |
| perl-variable-disposition-doc-0.005-r0.apk | 5.6 KiB | 2024-Oct-26 05:48 |
| perl-variable-disposition-0.005-r0.apk | 3.2 KiB | 2024-Oct-26 05:48 |
| perl-url-encode-doc-0.03-r4.apk | 4.7 KiB | 2024-Oct-26 05:48 |
| perl-url-encode-0.03-r4.apk | 5.1 KiB | 2024-Oct-26 05:48 |
| perl-uri-ws-doc-0.03-r0.apk | 4.3 KiB | 2025-Mar-19 20:46 |
| perl-uri-ws-0.03-r0.apk | 2.3 KiB | 2025-Mar-19 20:46 |
| perl-uri-tcp-doc-2.0.0-r0.apk | 4.9 KiB | 2024-Oct-26 05:48 |
| perl-uri-tcp-2.0.0-r0.apk | 2.7 KiB | 2024-Oct-26 05:48 |
| perl-uri-redis-doc-0.02-r0.apk | 4.6 KiB | 2024-Oct-26 05:48 |
| perl-uri-redis-0.02-r0.apk | 3.2 KiB | 2024-Oct-26 05:48 |
| perl-uri-nested-doc-0.10-r0.apk | 3.9 KiB | 2024-Oct-26 05:48 |
| perl-uri-nested-0.10-r0.apk | 4.0 KiB | 2024-Oct-26 05:48 |
| perl-uri-find-doc-20160806-r0.apk | 9.1 KiB | 2025-Mar-23 16:58 |
| perl-uri-find-20160806-r0.apk | 13.6 KiB | 2025-Mar-23 16:58 |
| perl-uri-fetch-doc-0.15-r0.apk | 7.5 KiB | 2024-Oct-26 05:48 |
| perl-uri-fetch-0.15-r0.apk | 7.0 KiB | 2024-Oct-26 05:48 |
| perl-uri-db-doc-0.23-r0.apk | 8.4 KiB | 2025-Jan-09 14:27 |
| perl-uri-db-0.23-r0.apk | 10.9 KiB | 2025-Jan-09 14:27 |
| perl-types-path-tiny-doc-0.006-r0.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| perl-types-path-tiny-0.006-r0.apk | 3.9 KiB | 2024-Oct-26 05:48 |
| perl-tree-simple-visitorfactory-doc-0.16-r0.apk | 46.2 KiB | 2025-Mar-19 20:46 |
| perl-tree-simple-visitorfactory-0.16-r0.apk | 19.9 KiB | 2025-Mar-19 20:46 |
| perl-time-timegm-doc-0.01-r10.apk | 3.9 KiB | 2025-Jun-30 16:50 |
| perl-time-timegm-0.01-r10.apk | 6.9 KiB | 2025-Jun-30 16:50 |
| perl-time-moment-role-timezone-doc-1.000-r0.apk | 4.0 KiB | 2024-Oct-26 05:48 |
| perl-time-moment-role-timezone-1.000-r0.apk | 3.6 KiB | 2024-Oct-26 05:48 |
| perl-time-moment-role-strptime-doc-0.001-r0.apk | 3.4 KiB | 2024-Oct-26 05:48 |
| perl-time-moment-role-strptime-0.001-r0.apk | 2.8 KiB | 2024-Oct-26 05:48 |
| perl-time-moment-doc-0.46-r0.apk | 35.7 KiB | 2025-Dec-06 01:44 |
| perl-time-moment-0.46-r0.apk | 39.7 KiB | 2025-Dec-06 01:44 |
| perl-tie-toobject-doc-0.03-r0.apk | 3.2 KiB | 2025-Mar-19 20:46 |
| perl-tie-toobject-0.03-r0.apk | 2.6 KiB | 2025-Mar-19 20:46 |
| perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6.5 KiB | 2024-Oct-26 05:48 |
| perl-tickit-widget-scrollbox-0.12-r0.apk | 8.0 KiB | 2024-Oct-26 05:48 |
| perl-tickit-widget-menu-doc-0.16-r0.apk | 6.9 KiB | 2024-Oct-26 05:48 |
| perl-tickit-widget-menu-0.16-r0.apk | 7.2 KiB | 2024-Oct-26 05:48 |
| perl-tickit-widget-floatbox-doc-0.11-r0.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| perl-tickit-widget-floatbox-0.11-r0.apk | 4.7 KiB | 2024-Oct-26 05:48 |
| perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk | 3.8 KiB | 2024-Oct-26 05:48 |
| perl-tickit-widget-entry-plugin-completion-0.02-r0.apk | 4.6 KiB | 2024-Oct-26 05:48 |
| perl-tickit-widget-choice-doc-0.07-r0.apk | 3.4 KiB | 2024-Oct-26 05:48 |
| perl-tickit-widget-choice-0.07-r0.apk | 3.9 KiB | 2024-Oct-26 05:48 |
| perl-throwable-doc-1.001-r1.apk | 8.0 KiB | 2024-Oct-26 05:48 |
| perl-throwable-1.001-r1.apk | 6.2 KiB | 2024-Oct-26 05:48 |
| perl-text-worddiff-doc-0.09-r0.apk | 13.7 KiB | 2025-Jun-10 01:26 |
| perl-text-worddiff-0.09-r0.apk | 10.4 KiB | 2025-Jun-10 01:26 |
| perl-text-table-sprintf-doc-0.008-r0.apk | 5.2 KiB | 2024-Oct-26 05:48 |
| perl-text-table-sprintf-0.008-r0.apk | 5.3 KiB | 2024-Oct-26 05:48 |
| perl-text-table-any-doc-0.117-r0.apk | 6.6 KiB | 2024-Oct-26 05:48 |
| perl-text-table-any-0.117-r0.apk | 8.1 KiB | 2024-Oct-26 05:48 |
| perl-text-simpletable-doc-2.07-r0.apk | 3.5 KiB | 2025-Mar-19 20:46 |
| perl-text-simpletable-2.07-r0.apk | 4.5 KiB | 2025-Mar-19 20:46 |
| perl-text-german-doc-0.06-r0.apk | 3.0 KiB | 2025-Jun-07 11:00 |
| perl-text-german-0.06-r0.apk | 13.1 KiB | 2025-Jun-07 11:00 |
| perl-text-brew-doc-0.02-r5.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| perl-text-brew-0.02-r5.apk | 4.5 KiB | 2024-Oct-26 05:48 |
| perl-test2-tools-explain-doc-0.02-r0.apk | 4.4 KiB | 2024-Oct-26 05:48 |
| perl-test2-tools-explain-0.02-r0.apk | 3.8 KiB | 2024-Oct-26 05:48 |
| perl-test-www-mechanize-doc-1.60-r0.apk | 10.1 KiB | 2025-Apr-13 23:57 |
| perl-test-www-mechanize-catalyst-doc-0.62-r0.apk | 6.2 KiB | 2025-Apr-20 13:32 |
| perl-test-www-mechanize-catalyst-0.62-r0.apk | 7.4 KiB | 2025-Apr-20 13:32 |
| perl-test-www-mechanize-1.60-r0.apk | 14.8 KiB | 2025-Apr-13 23:57 |
| perl-test-utf8-doc-1.03-r0.apk | 4.9 KiB | 2024-Nov-17 00:08 |
| perl-test-utf8-1.03-r0.apk | 5.6 KiB | 2024-Nov-17 00:08 |
| perl-test-useallmodules-doc-0.17-r1.apk | 3.8 KiB | 2024-Oct-26 05:48 |
| perl-test-useallmodules-0.17-r1.apk | 3.8 KiB | 2024-Oct-26 05:48 |
| perl-test-unit-doc-0.29-r0.apk | 48.8 KiB | 2025-Dec-09 18:01 |
| perl-test-unit-0.29-r0.apk | 35.5 KiB | 2025-Dec-09 18:01 |
| perl-test-trap-doc-0.3.5-r1.apk | 19.9 KiB | 2024-Oct-26 05:48 |
| perl-test-trap-0.3.5-r1.apk | 19.8 KiB | 2024-Oct-26 05:48 |
| perl-test-settings-doc-0.003-r0.apk | 6.0 KiB | 2024-Oct-26 05:48 |
| perl-test-settings-0.003-r0.apk | 4.9 KiB | 2024-Oct-26 05:48 |
| perl-test-roo-doc-1.004-r3.apk | 15.4 KiB | 2024-Oct-26 05:48 |
| perl-test-roo-1.004-r3.apk | 11.9 KiB | 2024-Oct-26 05:48 |
| perl-test-requires-git-doc-1.008-r0.apk | 4.4 KiB | 2024-Oct-26 05:48 |
| perl-test-requires-git-1.008-r0.apk | 4.8 KiB | 2024-Oct-26 05:48 |
| perl-test-redisserver-doc-0.23-r0.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| perl-test-redisserver-0.23-r0.apk | 5.0 KiB | 2024-Oct-26 05:48 |
| perl-test-randomresult-doc-0.001-r0.apk | 3.7 KiB | 2024-Oct-26 05:48 |
| perl-test-randomresult-0.001-r0.apk | 3.5 KiB | 2024-Oct-26 05:48 |
| perl-test-perl-critic-doc-1.04-r0.apk | 6.4 KiB | 2025-Jul-16 06:03 |
| perl-test-perl-critic-1.04-r0.apk | 6.8 KiB | 2025-Jul-16 06:03 |
| perl-test-modern-doc-0.013-r3.apk | 9.8 KiB | 2024-Oct-26 05:48 |
| perl-test-modern-0.013-r3.apk | 14.6 KiB | 2024-Oct-26 05:48 |
| perl-test-memorygrowth-doc-0.05-r0.apk | 5.3 KiB | 2024-Oct-26 05:48 |
| perl-test-memorygrowth-0.05-r0.apk | 6.4 KiB | 2024-Oct-26 05:48 |
| perl-test-lwp-useragent-doc-0.036-r0.apk | 8.3 KiB | 2024-Oct-26 05:48 |
| perl-test-lwp-useragent-0.036-r0.apk | 9.8 KiB | 2024-Oct-26 05:48 |
| perl-test-kwalitee-doc-1.28-r0.apk | 6.9 KiB | 2025-Jul-16 06:03 |
| perl-test-kwalitee-1.28-r0.apk | 6.4 KiB | 2025-Jul-16 06:03 |
| perl-test-files-doc-0.26-r0.apk | 14.6 KiB | 2024-Oct-26 05:48 |
| perl-test-files-0.26-r0.apk | 6.7 KiB | 2024-Oct-26 05:48 |
| perl-test-file-doc-1.995-r0.apk | 6.8 KiB | 2025-Apr-20 02:55 |
| perl-test-file-1.995-r0.apk | 11.4 KiB | 2025-Apr-20 02:55 |
| perl-test-expect-doc-0.34-r0.apk | 3.6 KiB | 2025-Apr-22 02:35 |
| perl-test-expect-0.34-r0.apk | 3.5 KiB | 2025-Apr-22 02:35 |
| perl-test-expander-doc-2.5.1-r0.apk | 20.1 KiB | 2024-Oct-26 05:48 |
| perl-test-expander-2.5.1-r0.apk | 7.1 KiB | 2024-Oct-26 05:48 |
| perl-test-distribution-doc-2.00-r1.apk | 6.1 KiB | 2024-Oct-26 05:48 |
| perl-test-distribution-2.00-r1.apk | 7.7 KiB | 2024-Oct-26 05:48 |
| perl-test-describeme-doc-0.004-r0.apk | 4.2 KiB | 2024-Oct-26 05:48 |
| perl-test-describeme-0.004-r0.apk | 3.6 KiB | 2024-Oct-26 05:48 |
| perl-test-class-tiny-doc-0.03-r0.apk | 5.4 KiB | 2024-Oct-26 05:48 |
| perl-test-class-tiny-0.03-r0.apk | 5.9 KiB | 2024-Oct-26 05:48 |
| perl-test-api-doc-0.010-r2.apk | 4.2 KiB | 2024-Oct-26 05:48 |
| perl-test-api-0.010-r2.apk | 5.1 KiB | 2024-Oct-26 05:48 |
| perl-term-ui-doc-0.50-r1.apk | 8.5 KiB | 2024-Oct-26 05:48 |
| perl-term-ui-0.50-r1.apk | 10.0 KiB | 2024-Oct-26 05:48 |
| perl-term-size-doc-0.211-r5.apk | 3.8 KiB | 2025-Jun-30 16:50 |
| perl-term-size-0.211-r5.apk | 5.9 KiB | 2025-Jun-30 16:50 |
| perl-template-tiny-doc-1.16-r0.apk | 5.0 KiB | 2025-Jul-24 21:33 |
| perl-template-tiny-1.16-r0.apk | 5.5 KiB | 2025-Jul-24 21:33 |
| perl-template-timer-doc-1.00-r0.apk | 3.7 KiB | 2025-Apr-13 00:52 |
| perl-template-timer-1.00-r0.apk | 3.4 KiB | 2025-Apr-13 00:52 |
| perl-template-plugin-number-format-doc-1.06-r4.apk | 4.4 KiB | 2024-Oct-26 05:48 |
| perl-template-plugin-number-format-1.06-r4.apk | 4.9 KiB | 2024-Oct-26 05:48 |
| perl-template-plugin-csv-doc-0.04-r3.apk | 3.0 KiB | 2024-Oct-26 05:48 |
| perl-template-plugin-csv-0.04-r3.apk | 2.7 KiB | 2024-Oct-26 05:48 |
| perl-task-catalyst-doc-4.02-r0.apk | 3.7 KiB | 2025-Jun-15 13:47 |
| perl-task-catalyst-4.02-r0.apk | 2.9 KiB | 2025-Jun-15 13:47 |
| perl-system-command-doc-1.122-r0.apk | 10.2 KiB | 2024-Oct-26 05:48 |
| perl-system-command-1.122-r0.apk | 11.8 KiB | 2024-Oct-26 05:48 |
| perl-sys-virt-doc-11.10.0-r0.apk | 106.5 KiB | 2025-Dec-04 01:12 |
| perl-sys-virt-11.10.0-r0.apk | 214.0 KiB | 2025-Dec-04 01:12 |
| perl-syntax-operator-in-doc-0.10-r1.apk | 5.9 KiB | 2025-Jun-30 16:50 |
| perl-syntax-operator-in-0.10-r1.apk | 9.9 KiB | 2025-Jun-30 16:50 |
| perl-syntax-operator-equ-doc-0.10-r1.apk | 6.6 KiB | 2025-Jun-30 16:50 |
| perl-syntax-operator-equ-0.10-r1.apk | 8.1 KiB | 2025-Jun-30 16:50 |
| perl-syntax-keyword-match-doc-0.15-r1.apk | 7.8 KiB | 2025-Jun-30 16:50 |
| perl-syntax-keyword-match-0.15-r1.apk | 14.0 KiB | 2025-Jun-30 16:50 |
| perl-string-toidentifier-en-doc-0.12-r0.apk | 5.6 KiB | 2025-Jun-09 21:16 |
| perl-string-toidentifier-en-0.12-r0.apk | 5.4 KiB | 2025-Jun-09 21:16 |
| perl-string-escape-doc-2010.002-r0.apk | 7.8 KiB | 2025-Mar-29 18:54 |
| perl-string-escape-2010.002-r0.apk | 8.9 KiB | 2025-Mar-29 18:54 |
| perl-string-crc32-doc-2.100-r5.apk | 3.4 KiB | 2025-Jun-30 16:50 |
| perl-string-crc32-2.100-r5.apk | 7.2 KiB | 2025-Jun-30 16:50 |
| perl-string-compare-constanttime-doc-0.321-r7.apk | 5.3 KiB | 2025-Jun-30 16:50 |
| perl-string-compare-constanttime-0.321-r7.apk | 7.5 KiB | 2025-Jun-30 16:50 |
| perl-string-camelcase-doc-0.04-r2.apk | 3.4 KiB | 2024-Oct-26 05:48 |
| perl-string-camelcase-0.04-r2.apk | 3.2 KiB | 2024-Oct-26 05:48 |
| perl-storable-improved-doc-0.1.3-r0.apk | 6.9 KiB | 2024-Oct-26 05:48 |
| perl-storable-improved-0.1.3-r0.apk | 6.7 KiB | 2024-Oct-26 05:48 |
| perl-statistics-descriptive-doc-3.0801-r0.apk | 37.5 KiB | 2024-Oct-26 05:48 |
| perl-statistics-descriptive-3.0801-r0.apk | 30.3 KiB | 2024-Oct-26 05:48 |
| perl-statistics-basic-doc-1.6611-r0.apk | 49.8 KiB | 2024-Oct-26 05:48 |
| perl-statistics-basic-1.6611-r0.apk | 9.2 KiB | 2024-Oct-26 05:48 |
| perl-starman-doc-0.4017-r0.apk | 10.0 KiB | 2024-Oct-26 05:48 |
| perl-starman-0.4017-r0.apk | 13.4 KiB | 2024-Oct-26 05:48 |
| perl-sql-abstract-more-doc-1.44-r0.apk | 16.7 KiB | 2025-Jul-16 06:03 |
| perl-sql-abstract-more-1.44-r0.apk | 27.6 KiB | 2025-Jul-16 06:03 |
| perl-sql-abstract-classic-doc-1.91-r1.apk | 20.2 KiB | 2024-Oct-26 05:48 |
| perl-sql-abstract-classic-1.91-r1.apk | 29.5 KiB | 2024-Oct-26 05:48 |
| perl-sort-versions-doc-1.62-r0.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| perl-sort-versions-1.62-r0.apk | 3.7 KiB | 2024-Oct-26 05:48 |
| perl-sort-naturally-doc-1.03-r4.apk | 5.4 KiB | 2024-Oct-26 05:48 |
| perl-sort-naturally-1.03-r4.apk | 8.7 KiB | 2024-Oct-26 05:48 |
| perl-software-license-doc-0.104007-r0.apk | 50.4 KiB | 2025-Jul-09 01:53 |
| perl-software-license-0.104007-r0.apk | 106.6 KiB | 2025-Jul-09 01:53 |
| perl-soap-lite-doc-1.27-r5.apk | 90.4 KiB | 2024-Oct-26 05:48 |
| perl-soap-lite-1.27-r5.apk | 110.2 KiB | 2024-Oct-26 05:48 |
| perl-snowball-swedish-doc-1.2-r0.apk | 3.9 KiB | 2025-Jun-09 01:25 |
| perl-snowball-swedish-1.2-r0.apk | 5.2 KiB | 2025-Jun-09 01:25 |
| perl-snowball-norwegian-doc-1.2-r0.apk | 3.9 KiB | 2025-Jun-09 01:25 |
| perl-snowball-norwegian-1.2-r0.apk | 5.3 KiB | 2025-Jun-09 01:25 |
| perl-snmp-info-doc-3.974000-r0.apk | 389.6 KiB | 2025-Sep-12 13:50 |
| perl-snmp-info-3.974000-r0.apk | 337.0 KiB | 2025-Sep-12 13:50 |
| perl-snmp-doc-5.0404-r14.apk | 14.1 KiB | 2025-Jun-30 16:50 |
| perl-snmp-5.0404-r14.apk | 69.7 KiB | 2025-Jun-30 16:50 |
| perl-smart-comments-doc-1.06-r0.apk | 8.5 KiB | 2025-Jul-08 02:02 |
| perl-smart-comments-1.06-r0.apk | 12.3 KiB | 2025-Jul-08 02:02 |
| perl-signature-attribute-checked-doc-0.06-r1.apk | 4.6 KiB | 2025-Jun-30 16:50 |
| perl-signature-attribute-checked-0.06-r1.apk | 8.0 KiB | 2025-Jun-30 16:50 |
| perl-shell-guess-doc-0.10-r0.apk | 5.8 KiB | 2025-Jun-07 23:55 |
| perl-shell-guess-0.10-r0.apk | 6.0 KiB | 2025-Jun-07 23:55 |
| perl-shell-config-generate-doc-0.34-r0.apk | 6.8 KiB | 2025-Jun-09 01:25 |
| perl-shell-config-generate-0.34-r0.apk | 7.8 KiB | 2025-Jun-09 01:25 |
| perl-set-infinite-doc-0.65-r0.apk | 11.5 KiB | 2025-Jul-21 17:46 |
| perl-set-infinite-0.65-r0.apk | 22.9 KiB | 2025-Jul-21 17:46 |
| perl-session-storage-secure-doc-1.000-r2.apk | 7.4 KiB | 2024-Oct-26 05:48 |
| perl-session-storage-secure-1.000-r2.apk | 8.8 KiB | 2024-Oct-26 05:48 |
| perl-scalar-readonly-doc-0.03-r2.apk | 3.4 KiB | 2025-Jun-30 16:50 |
| perl-scalar-readonly-0.03-r2.apk | 5.5 KiB | 2025-Jun-30 16:50 |
| perl-ryu-doc-4.001-r0.apk | 35.4 KiB | 2024-Oct-26 05:48 |
| perl-ryu-async-doc-0.020-r0.apk | 11.8 KiB | 2024-Oct-26 05:48 |
| perl-ryu-async-0.020-r0.apk | 7.5 KiB | 2024-Oct-26 05:48 |
| perl-ryu-4.001-r0.apk | 26.1 KiB | 2024-Oct-26 05:48 |
| perl-rxperl-mojo-doc-6.8.2-r0.apk | 9.1 KiB | 2024-Oct-26 05:48 |
| perl-rxperl-mojo-6.8.2-r0.apk | 2.8 KiB | 2024-Oct-26 05:48 |
| perl-rxperl-ioasync-doc-6.9.1-r0.apk | 9.0 KiB | 2024-Oct-26 05:48 |
| perl-rxperl-ioasync-6.9.1-r0.apk | 2.8 KiB | 2024-Oct-26 05:48 |
| perl-rxperl-doc-6.29.8-r0.apk | 22.6 KiB | 2024-Oct-26 05:48 |
| perl-rxperl-anyevent-doc-6.8.1-r0.apk | 8.9 KiB | 2024-Oct-26 05:48 |
| perl-rxperl-anyevent-6.8.1-r0.apk | 2.7 KiB | 2024-Oct-26 05:48 |
| perl-rxperl-6.29.8-r0.apk | 26.3 KiB | 2024-Oct-26 05:48 |
| perl-role-eventemitter-doc-0.003-r0.apk | 3.9 KiB | 2024-Oct-26 05:48 |
| perl-role-eventemitter-0.003-r0.apk | 3.7 KiB | 2024-Oct-26 05:48 |
| perl-regexp-trie-doc-0.02-r0.apk | 3.3 KiB | 2025-Jul-16 06:03 |
| perl-regexp-trie-0.02-r0.apk | 3.0 KiB | 2025-Jul-16 06:03 |
| perl-ref-util-xs-doc-0.117-r9.apk | 3.5 KiB | 2025-Jun-30 16:50 |
| perl-ref-util-xs-0.117-r9.apk | 10.1 KiB | 2025-Jun-30 16:50 |
| perl-protocol-redis-faster-doc-0.003-r0.apk | 3.3 KiB | 2024-Oct-26 05:48 |
| perl-protocol-redis-faster-0.003-r0.apk | 3.4 KiB | 2024-Oct-26 05:48 |
| perl-protocol-redis-doc-1.0021-r0.apk | 5.0 KiB | 2024-Oct-26 05:48 |
| perl-protocol-redis-1.0021-r0.apk | 5.6 KiB | 2024-Oct-26 05:48 |
| perl-protocol-database-postgresql-doc-2.001-r0.apk | 35.7 KiB | 2024-Oct-26 05:48 |
| perl-protocol-database-postgresql-2.001-r0.apk | 19.2 KiB | 2024-Oct-26 05:48 |
| perl-promise-xs-doc-0.20-r2.apk | 8.8 KiB | 2025-Jun-30 16:50 |
| perl-promise-xs-0.20-r2.apk | 24.8 KiB | 2025-Jun-30 16:50 |
| perl-promise-me-doc-0.6.0-r0.apk | 12.8 KiB | 2025-Aug-09 18:49 |
| perl-promise-me-0.6.0-r0.apk | 27.1 KiB | 2025-Aug-09 18:49 |
| perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2.6 KiB | 2024-Oct-26 05:48 |
| perl-promise-es6-io-async-0.28-r0.apk | 2.9 KiB | 2024-Oct-26 05:48 |
| perl-promise-es6-future-0.28-r0.apk | 2.3 KiB | 2024-Oct-26 05:48 |
| perl-promise-es6-doc-0.28-r0.apk | 12.1 KiB | 2024-Oct-26 05:48 |
| perl-promise-es6-anyevent-0.28-r0.apk | 2.5 KiB | 2024-Oct-26 05:48 |
| perl-promise-es6-0.28-r0.apk | 10.7 KiB | 2024-Oct-26 05:48 |
| perl-proc-guard-doc-0.07-r4.apk | 3.5 KiB | 2024-Oct-26 05:48 |
| perl-proc-guard-0.07-r4.apk | 3.7 KiB | 2024-Oct-26 05:48 |
| perl-prereqscanner-notquitelite-doc-0.9917-r0.apk | 49.1 KiB | 2025-Jul-16 06:03 |
| perl-prereqscanner-notquitelite-0.9917-r0.apk | 41.0 KiB | 2025-Jul-16 06:03 |
| perl-ppi-xs-doc-0.910-r2.apk | 3.4 KiB | 2025-Jun-30 16:50 |
| perl-ppi-xs-0.910-r2.apk | 6.0 KiB | 2025-Jun-30 16:50 |
| perl-pod-tidy-doc-0.10-r1.apk | 10.5 KiB | 2024-Oct-26 05:48 |
| perl-pod-tidy-0.10-r1.apk | 10.4 KiB | 2024-Oct-26 05:48 |
| perl-pod-cpandoc-doc-0.16-r6.apk | 4.9 KiB | 2024-Oct-26 05:48 |
| perl-pod-cpandoc-0.16-r6.apk | 4.5 KiB | 2024-Oct-26 05:48 |
| perl-plack-test-externalserver-doc-0.02-r0.apk | 3.1 KiB | 2025-Mar-19 20:46 |
| perl-plack-test-externalserver-0.02-r0.apk | 2.8 KiB | 2025-Mar-19 20:46 |
| perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 3.0 KiB | 2024-Oct-26 05:48 |
| perl-plack-middleware-reverseproxy-0.16-r2.apk | 3.1 KiB | 2024-Oct-26 05:48 |
| perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk | 3.1 KiB | 2024-Dec-27 23:02 |
| perl-plack-middleware-removeredundantbody-0.09-r0.apk | 2.5 KiB | 2024-Dec-27 23:02 |
| perl-plack-middleware-methodoverride-doc-0.20-r0.apk | 4.0 KiB | 2025-Mar-19 20:46 |
| perl-plack-middleware-methodoverride-0.20-r0.apk | 3.6 KiB | 2025-Mar-19 20:46 |
| perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk | 3.1 KiB | 2024-Dec-26 18:36 |
| perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk | 3.1 KiB | 2024-Dec-26 18:36 |
| perl-plack-middleware-expires-doc-0.06-r3.apk | 3.3 KiB | 2024-Oct-26 05:48 |
| perl-plack-middleware-expires-0.06-r3.apk | 3.9 KiB | 2024-Oct-26 05:48 |
| perl-perlio-locale-doc-0.10-r13.apk | 3.1 KiB | 2025-Jun-30 16:50 |
| perl-perlio-locale-0.10-r13.apk | 4.7 KiB | 2025-Jun-30 16:50 |
| perl-path-iter-doc-0.2-r3.apk | 5.1 KiB | 2024-Oct-26 05:48 |
| perl-path-iter-0.2-r3.apk | 5.2 KiB | 2024-Oct-26 05:48 |
| perl-path-dispatcher-doc-1.08-r0.apk | 37.7 KiB | 2025-Jun-09 21:16 |
| perl-path-dispatcher-1.08-r0.apk | 14.2 KiB | 2025-Jun-09 21:16 |
| perl-parse-distname-doc-0.05-r0.apk | 4.4 KiB | 2025-Jul-16 06:03 |
| perl-parse-distname-0.05-r0.apk | 5.5 KiB | 2025-Jul-16 06:03 |
| perl-pango-doc-1.227-r12.apk | 82.4 KiB | 2025-Jun-30 16:50 |
| perl-pango-1.227-r12.apk | 79.0 KiB | 2025-Jun-30 16:50 |
| perl-opentracing-doc-1.006-r0.apk | 32.7 KiB | 2024-Oct-26 05:48 |
| perl-opentracing-1.006-r0.apk | 18.0 KiB | 2024-Oct-26 05:48 |
| perl-openapi-client-doc-1.07-r0.apk | 7.3 KiB | 2024-Oct-26 05:48 |
| perl-openapi-client-1.07-r0.apk | 8.6 KiB | 2024-Oct-26 05:48 |
| perl-object-signature-doc-1.08-r0.apk | 5.4 KiB | 2025-Apr-04 20:57 |
| perl-object-signature-1.08-r0.apk | 3.7 KiB | 2025-Apr-04 20:57 |
| perl-object-pad-fieldattr-checked-doc-0.12-r1.apk | 4.5 KiB | 2025-Jun-30 16:50 |
| perl-object-pad-fieldattr-checked-0.12-r1.apk | 8.7 KiB | 2025-Jun-30 16:50 |
| perl-object-array-doc-0.060-r0.apk | 7.0 KiB | 2024-Oct-26 05:48 |
| perl-object-array-0.060-r0.apk | 5.7 KiB | 2024-Oct-26 05:48 |
| perl-number-tolerant-doc-1.710-r0.apk | 25.6 KiB | 2024-Oct-26 05:48 |
| perl-number-tolerant-1.710-r0.apk | 14.8 KiB | 2024-Oct-26 05:48 |
| perl-number-format-doc-1.76-r1.apk | 9.0 KiB | 2024-Oct-26 05:48 |
| perl-number-format-1.76-r1.apk | 15.2 KiB | 2024-Oct-26 05:48 |
| perl-nice-try-doc-1.3.17-r0.apk | 12.5 KiB | 2025-Jul-20 02:52 |
| perl-nice-try-1.3.17-r0.apk | 27.9 KiB | 2025-Jul-20 02:52 |
| perl-netaddr-mac-doc-0.99-r0.apk | 8.1 KiB | 2025-Dec-21 16:46 |
| perl-netaddr-mac-0.99-r0.apk | 10.0 KiB | 2025-Dec-21 16:46 |
| perl-net-patricia-doc-1.24-r0.apk | 6.3 KiB | 2025-Nov-21 00:48 |
| perl-net-patricia-1.24-r0.apk | 21.7 KiB | 2025-Nov-21 00:48 |
| perl-net-netmask-doc-2.0003-r0.apk | 8.6 KiB | 2025-May-18 13:10 |
| perl-net-netmask-2.0003-r0.apk | 13.5 KiB | 2025-May-18 13:10 |
| perl-net-irr-doc-0.10-r0.apk | 5.1 KiB | 2024-Oct-26 05:48 |
| perl-net-irr-0.10-r0.apk | 5.4 KiB | 2024-Oct-26 05:48 |
| perl-net-idn-encode-doc-2.500-r2.apk | 21.8 KiB | 2025-Jun-30 16:50 |
| perl-net-idn-encode-2.500-r2.apk | 83.7 KiB | 2025-Jun-30 16:50 |
| perl-net-dbus-doc-1.2.0-r0.apk | 94.1 KiB | 2025-Dec-13 16:47 |
| perl-net-dbus-1.2.0-r0.apk | 93.2 KiB | 2025-Dec-13 16:47 |
| perl-net-curl-promiser-mojo-0.20-r0.apk | 3.1 KiB | 2024-Oct-26 05:48 |
| perl-net-curl-promiser-ioasync-0.20-r0.apk | 3.0 KiB | 2024-Oct-26 05:48 |
| perl-net-curl-promiser-doc-0.20-r0.apk | 11.6 KiB | 2024-Oct-26 05:48 |
| perl-net-curl-promiser-anyevent-0.20-r0.apk | 2.7 KiB | 2024-Oct-26 05:48 |
| perl-net-curl-promiser-0.20-r0.apk | 8.9 KiB | 2024-Oct-26 05:48 |
| perl-net-curl-doc-0.57-r1.apk | 39.4 KiB | 2025-Jun-30 16:50 |
| perl-net-curl-0.57-r1.apk | 63.0 KiB | 2025-Jun-30 16:50 |
| perl-net-async-redis-xs-doc-1.001-r2.apk | 5.4 KiB | 2025-Jun-30 16:50 |
| perl-net-async-redis-xs-1.001-r2.apk | 9.3 KiB | 2025-Jun-30 16:50 |
| perl-net-async-redis-doc-6.006-r0.apk | 65.9 KiB | 2024-Dec-12 01:22 |
| perl-net-async-redis-6.006-r0.apk | 58.4 KiB | 2024-Dec-12 01:22 |
| perl-net-amqp-rabbitmq-doc-2.40014-r1.apk | 10.8 KiB | 2025-Nov-21 08:47 |
| perl-net-amqp-rabbitmq-2.40014-r1.apk | 82.8 KiB | 2025-Nov-21 08:47 |
| perl-net-address-ip-local-doc-0.1.2-r0.apk | 3.5 KiB | 2024-Oct-26 05:48 |
| perl-net-address-ip-local-0.1.2-r0.apk | 3.4 KiB | 2024-Oct-26 05:48 |
| perl-musicbrainz-discid-doc-0.06-r2.apk | 4.4 KiB | 2025-Jun-30 16:50 |
| perl-musicbrainz-discid-0.06-r2.apk | 9.5 KiB | 2025-Jun-30 16:50 |
| perl-multidimensional-doc-0.014-r1.apk | 3.2 KiB | 2025-Jun-30 16:50 |
| perl-multidimensional-0.014-r1.apk | 5.1 KiB | 2025-Jun-30 16:50 |
| perl-moox-typetiny-doc-0.002003-r0.apk | 3.2 KiB | 2025-Jun-09 21:16 |
| perl-moox-typetiny-0.002003-r0.apk | 3.6 KiB | 2025-Jun-09 21:16 |
| perl-moosex-types-stringlike-doc-0.003-r0.apk | 3.6 KiB | 2025-Mar-15 23:08 |
| perl-moosex-types-stringlike-0.003-r0.apk | 3.0 KiB | 2025-Mar-15 23:08 |
| perl-moosex-types-path-tiny-doc-0.012-r0.apk | 4.1 KiB | 2025-Mar-15 23:08 |
| perl-moosex-types-path-tiny-0.012-r0.apk | 4.0 KiB | 2025-Mar-15 23:08 |
| perl-moosex-types-loadableclass-doc-0.016-r0.apk | 3.7 KiB | 2025-May-01 01:21 |
| perl-moosex-types-loadableclass-0.016-r0.apk | 3.2 KiB | 2025-May-01 01:21 |
| perl-moosex-traits-pluggable-doc-0.12-r0.apk | 4.2 KiB | 2025-May-01 15:44 |
| perl-moosex-traits-pluggable-0.12-r0.apk | 4.9 KiB | 2025-May-01 15:44 |
| perl-moosex-simpleconfig-doc-0.11-r0.apk | 4.2 KiB | 2025-Mar-16 13:04 |
| perl-moosex-simpleconfig-0.11-r0.apk | 3.8 KiB | 2025-Mar-16 13:04 |
| perl-moosex-role-parameterized-doc-1.11-r0.apk | 23.5 KiB | 2025-Mar-15 23:08 |
| perl-moosex-role-parameterized-1.11-r0.apk | 7.7 KiB | 2025-Mar-15 23:08 |
| perl-moosex-relatedclassroles-doc-0.004-r0.apk | 3.3 KiB | 2025-May-01 15:44 |
| perl-moosex-relatedclassroles-0.004-r0.apk | 2.8 KiB | 2025-May-01 15:44 |
| perl-moosex-object-pluggable-doc-0.0014-r0.apk | 5.5 KiB | 2025-Apr-22 02:33 |
| perl-moosex-object-pluggable-0.0014-r0.apk | 6.0 KiB | 2025-Apr-22 02:33 |
| perl-moosex-nonmoose-doc-0.27-r1.apk | 9.4 KiB | 2025-Jun-19 19:55 |
| perl-moosex-nonmoose-0.27-r1.apk | 8.2 KiB | 2025-Jun-19 19:55 |
| perl-moosex-methodattributes-doc-0.32-r0.apk | 22.1 KiB | 2025-Mar-19 20:46 |
| perl-moosex-methodattributes-0.32-r0.apk | 8.6 KiB | 2025-Mar-19 20:46 |
| perl-moosex-markasmethods-doc-0.15-r0.apk | 5.2 KiB | 2025-Jun-05 02:22 |
| perl-moosex-markasmethods-0.15-r0.apk | 5.1 KiB | 2025-Jun-05 02:22 |
| perl-moosex-getopt-doc-0.78-r0.apk | 24.7 KiB | 2025-Mar-16 13:04 |
| perl-moosex-getopt-0.78-r0.apk | 13.6 KiB | 2025-Mar-16 13:04 |
| perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk | 5.3 KiB | 2025-Mar-16 13:04 |
| perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk | 5.4 KiB | 2025-Mar-16 13:04 |
| perl-moosex-configfromfile-doc-0.14-r0.apk | 4.2 KiB | 2025-Mar-16 13:04 |
| perl-moosex-configfromfile-0.14-r0.apk | 4.1 KiB | 2025-Mar-16 13:04 |
| perl-mojolicious-plugin-openapi-doc-5.11-r0.apk | 33.5 KiB | 2025-Mar-19 20:46 |
| perl-mojolicious-plugin-openapi-5.11-r0.apk | 28.4 KiB | 2025-Mar-19 20:46 |
| perl-mojo-sqlite-doc-3.009-r0.apk | 19.4 KiB | 2024-Oct-26 05:48 |
| perl-mojo-sqlite-3.009-r0.apk | 15.9 KiB | 2024-Oct-26 05:48 |
| perl-mojo-redis-doc-3.29-r0.apk | 24.6 KiB | 2024-Oct-26 05:48 |
| perl-mojo-redis-3.29-r0.apk | 25.2 KiB | 2024-Oct-26 05:48 |
| perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4.4 KiB | 2024-Oct-26 05:48 |
| perl-mojo-reactor-ioasync-1.002-r0.apk | 4.7 KiB | 2024-Oct-26 05:48 |
| perl-module-path-doc-0.19-r0.apk | 5.8 KiB | 2025-Jun-09 01:25 |
| perl-module-path-0.19-r0.apk | 4.7 KiB | 2025-Jun-09 01:25 |
| perl-module-generic-doc-1.1.3-r0.apk | 262.4 KiB | 2025-Oct-25 03:00 |
| perl-module-generic-1.1.3-r0.apk | 323.7 KiB | 2025-Oct-25 03:00 |
| perl-module-extract-version-doc-1.119-r0.apk | 3.5 KiB | 2025-Sep-05 16:32 |
| perl-module-extract-version-1.119-r0.apk | 3.2 KiB | 2025-Sep-05 16:32 |
| perl-module-cpants-analyse-doc-1.02-r0.apk | 28.3 KiB | 2025-Jul-16 06:03 |
| perl-module-cpants-analyse-1.02-r0.apk | 26.5 KiB | 2025-Jul-16 06:03 |
| perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk | 3.8 KiB | 2024-Oct-26 05:48 |
| perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3.4 KiB | 2024-Oct-26 05:48 |
| perl-minion-doc-11.0-r0.apk | 50.6 KiB | 2025-Aug-27 23:45 |
| perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6.8 KiB | 2024-Oct-26 05:48 |
| perl-minion-backend-sqlite-5.0.7-r0.apk | 10.1 KiB | 2024-Oct-26 05:48 |
| perl-minion-backend-redis-doc-0.003-r0.apk | 6.6 KiB | 2024-Oct-26 05:48 |
| perl-minion-backend-redis-0.003-r0.apk | 10.5 KiB | 2024-Oct-26 05:48 |
| perl-minion-backend-pg-11.0-r0.apk | 9.6 KiB | 2025-Aug-27 23:45 |
| perl-minion-11.0-r0.apk | 1.5 MiB | 2025-Aug-27 23:45 |
| perl-memoize-expirelru-doc-0.56-r0.apk | 3.8 KiB | 2025-Jun-09 01:25 |
| perl-memoize-expirelru-0.56-r0.apk | 6.2 KiB | 2025-Jun-09 01:25 |
| perl-mce-doc-1.902-r0.apk | 171.2 KiB | 2025-Sep-10 13:01 |
| perl-mce-1.902-r0.apk | 134.5 KiB | 2025-Sep-10 13:01 |
| perl-math-random-isaac-xs-doc-1.004-r9.apk | 3.8 KiB | 2025-Jun-30 16:50 |
| perl-math-random-isaac-xs-1.004-r9.apk | 8.1 KiB | 2025-Jun-30 16:50 |
| perl-math-random-doc-0.75-r0.apk | 11.3 KiB | 2025-Oct-01 14:01 |
| perl-math-random-0.75-r0.apk | 36.5 KiB | 2025-Oct-01 14:01 |
| perl-math-libm-doc-1.00-r15.apk | 3.2 KiB | 2025-Jun-30 16:50 |
| perl-math-libm-1.00-r15.apk | 10.8 KiB | 2025-Jun-30 16:50 |
| perl-math-int64-doc-0.57-r2.apk | 10.5 KiB | 2025-Jun-30 16:50 |
| perl-math-int64-0.57-r2.apk | 28.7 KiB | 2025-Jun-30 16:50 |
| perl-mastodon-client-doc-0.017-r0.apk | 33.0 KiB | 2024-Oct-26 05:48 |
| perl-mastodon-client-0.017-r0.apk | 22.0 KiB | 2024-Oct-26 05:48 |
| perl-lwp-useragent-cached-doc-0.08-r1.apk | 5.6 KiB | 2024-Oct-26 05:48 |
| perl-lwp-useragent-cached-0.08-r1.apk | 6.4 KiB | 2024-Oct-26 05:48 |
| perl-log-message-simple-doc-0.10-r3.apk | 3.9 KiB | 2024-Oct-26 05:48 |
| perl-log-message-simple-0.10-r3.apk | 4.2 KiB | 2024-Oct-26 05:48 |
| perl-log-message-doc-0.08-r3.apk | 12.1 KiB | 2024-Oct-26 05:48 |
| perl-log-message-0.08-r3.apk | 10.6 KiB | 2024-Oct-26 05:48 |
| perl-log-fu-doc-0.31-r4.apk | 7.2 KiB | 2024-Oct-26 05:48 |
| perl-log-fu-0.31-r4.apk | 10.5 KiB | 2024-Oct-26 05:48 |
| perl-list-keywords-doc-0.11-r1.apk | 5.6 KiB | 2025-Jun-30 16:50 |
| perl-list-keywords-0.11-r1.apk | 15.1 KiB | 2025-Jun-30 16:50 |
| perl-list-binarysearch-xs-doc-0.09-r2.apk | 8.1 KiB | 2025-Jun-30 16:50 |
| perl-list-binarysearch-xs-0.09-r2.apk | 12.7 KiB | 2025-Jun-30 16:50 |
| perl-list-binarysearch-doc-0.25-r0.apk | 11.5 KiB | 2024-Oct-26 05:48 |
| perl-list-binarysearch-0.25-r0.apk | 9.9 KiB | 2024-Oct-26 05:48 |
| perl-linux-pid-doc-0.04-r15.apk | 3.1 KiB | 2025-Jun-30 16:50 |
| perl-linux-pid-0.04-r15.apk | 4.9 KiB | 2025-Jun-30 16:50 |
| perl-lingua-stem-snowball-da-doc-1.01-r0.apk | 3.0 KiB | 2025-Jun-09 01:25 |
| perl-lingua-stem-snowball-da-1.01-r0.apk | 4.3 KiB | 2025-Jun-09 01:25 |
| perl-lingua-stem-ru-doc-0.04-r0.apk | 3.7 KiB | 2025-Jun-06 23:57 |
| perl-lingua-stem-ru-0.04-r0.apk | 4.1 KiB | 2025-Jun-06 23:57 |
| perl-lingua-stem-it-doc-0.02-r0.apk | 3.5 KiB | 2025-Jun-06 23:57 |
| perl-lingua-stem-it-0.02-r0.apk | 5.2 KiB | 2025-Jun-06 23:57 |
| perl-lingua-stem-fr-doc-0.02-r0.apk | 3.9 KiB | 2025-Jun-06 23:57 |
| perl-lingua-stem-fr-0.02-r0.apk | 6.1 KiB | 2025-Jun-06 23:57 |
| perl-lingua-stem-doc-2.31-r0.apk | 33.6 KiB | 2025-Jun-09 01:25 |
| perl-lingua-stem-2.31-r0.apk | 12.4 KiB | 2025-Jun-09 01:25 |
| perl-lingua-pt-stemmer-doc-0.02-r0.apk | 4.3 KiB | 2025-Jun-07 11:00 |
| perl-lingua-pt-stemmer-0.02-r0.apk | 5.4 KiB | 2025-Jun-07 11:00 |
| perl-lingua-en-words2nums-doc-0.18-r0.apk | 3.5 KiB | 2025-Jun-07 23:55 |
| perl-lingua-en-words2nums-0.18-r0.apk | 4.6 KiB | 2025-Jun-07 23:55 |
| perl-lingua-en-tagger-doc-0.31-r0.apk | 4.5 KiB | 2025-Jun-09 01:25 |
| perl-lingua-en-tagger-0.31-r0.apk | 545.8 KiB | 2025-Jun-09 01:25 |
| perl-lingua-en-number-isordinal-doc-0.05-r0.apk | 3.4 KiB | 2025-Jun-09 01:25 |
| perl-lingua-en-number-isordinal-0.05-r0.apk | 3.1 KiB | 2025-Jun-09 01:25 |
| perl-lingua-en-inflect-phrase-doc-0.20-r0.apk | 3.9 KiB | 2025-Jun-09 21:16 |
| perl-lingua-en-inflect-phrase-0.20-r0.apk | 5.3 KiB | 2025-Jun-09 21:16 |
| perl-lingua-en-inflect-number-doc-1.12-r0.apk | 3.6 KiB | 2025-Jun-09 01:25 |
| perl-lingua-en-inflect-number-1.12-r0.apk | 3.2 KiB | 2025-Jun-09 01:25 |
| perl-lingua-en-findnumber-doc-1.32-r0.apk | 3.5 KiB | 2025-Jun-09 01:25 |
| perl-lingua-en-findnumber-1.32-r0.apk | 3.3 KiB | 2025-Jun-09 01:25 |
| perl-libintl-perl-doc-1.35-r0.apk | 571.4 KiB | 2025-Jan-16 23:15 |
| perl-libintl-perl-1.35-r0.apk | 304.9 KiB | 2025-Jan-16 23:15 |
| perl-libapreq2-doc-2.17-r3.apk | 37.3 KiB | 2025-Jun-30 16:50 |
| perl-libapreq2-dev-2.17-r3.apk | 80.9 KiB | 2025-Jun-30 16:50 |
| perl-libapreq2-2.17-r3.apk | 111.2 KiB | 2025-Jun-30 16:50 |
| perl-lib-abs-doc-0.95-r0.apk | 4.0 KiB | 2024-Oct-26 05:48 |
| perl-lib-abs-0.95-r0.apk | 3.9 KiB | 2024-Oct-26 05:48 |
| perl-lexical-persistence-doc-1.023-r0.apk | 7.6 KiB | 2025-Apr-27 22:40 |
| perl-lexical-persistence-1.023-r0.apk | 7.5 KiB | 2025-Apr-27 22:40 |
| perl-json-validator-doc-5.15-r0.apk | 33.8 KiB | 2025-Mar-19 20:46 |
| perl-json-validator-5.15-r0.apk | 58.2 KiB | 2025-Mar-19 20:46 |
| perl-json-maybeutf8-doc-2.000-r0.apk | 3.5 KiB | 2024-Oct-26 05:48 |
| perl-json-maybeutf8-2.000-r0.apk | 3.1 KiB | 2024-Oct-26 05:48 |
| perl-io-sessiondata-1.03-r3.apk | 5.7 KiB | 2024-Oct-26 05:48 |
| perl-io-lambda-doc-1.34-r0.apk | 67.9 KiB | 2024-Oct-26 05:48 |
| perl-io-lambda-1.34-r0.apk | 75.5 KiB | 2024-Oct-26 05:48 |
| perl-io-interactive-doc-1.027-r0.apk | 5.2 KiB | 2025-Sep-01 22:16 |
| perl-io-interactive-1.027-r0.apk | 5.3 KiB | 2025-Sep-01 22:16 |
| perl-io-handle-util-doc-0.02-r0.apk | 9.8 KiB | 2025-Jun-12 01:34 |
| perl-io-handle-util-0.02-r0.apk | 10.6 KiB | 2025-Jun-12 01:34 |
| perl-indirect-doc-0.39-r2.apk | 6.4 KiB | 2025-Jun-30 16:50 |
| perl-indirect-0.39-r2.apk | 16.3 KiB | 2025-Jun-30 16:50 |
| perl-imager-doc-1.028-r1.apk | 286.4 KiB | 2025-Jun-30 16:50 |
| perl-imager-1.028-r1.apk | 540.5 KiB | 2025-Jun-30 16:50 |
| perl-i18n-langinfo-wide-doc-9-r4.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| perl-i18n-langinfo-wide-9-r4.apk | 4.2 KiB | 2024-Oct-26 05:48 |
| perl-http-xsheaders-doc-0.400005-r2.apk | 6.4 KiB | 2025-Jun-30 16:50 |
| perl-http-xsheaders-0.400005-r2.apk | 21.1 KiB | 2025-Jun-30 16:50 |
| perl-http-thin-doc-0.006-r0.apk | 3.4 KiB | 2024-Oct-26 05:48 |
| perl-http-thin-0.006-r0.apk | 3.1 KiB | 2024-Oct-26 05:48 |
| perl-http-headers-actionpack-doc-0.09-r0.apk | 40.3 KiB | 2025-Jun-14 03:17 |
| perl-http-headers-actionpack-0.09-r0.apk | 18.0 KiB | 2025-Jun-14 03:17 |
| perl-html-treebuilder-xpath-doc-0.14-r0.apk | 4.2 KiB | 2025-Jul-16 06:03 |
| perl-html-treebuilder-xpath-0.14-r0.apk | 7.8 KiB | 2025-Jul-16 06:03 |
| perl-html-tableextract-doc-2.15-r4.apk | 9.9 KiB | 2024-Oct-26 05:48 |
| perl-html-tableextract-2.15-r4.apk | 17.7 KiB | 2024-Oct-26 05:48 |
| perl-html-selector-xpath-doc-0.28-r0.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| perl-html-selector-xpath-0.28-r0.apk | 6.2 KiB | 2024-Oct-26 05:48 |
| perl-html-query-doc-0.09-r0.apk | 10.1 KiB | 2025-Jun-16 20:51 |
| perl-html-query-0.09-r0.apk | 13.8 KiB | 2025-Jun-16 20:51 |
| perl-html-object-doc-0.6.0-r0.apk | 473.5 KiB | 2025-Oct-17 01:26 |
| perl-html-object-0.6.0-r0.apk | 342.9 KiB | 2025-Oct-17 01:26 |
| perl-html-gumbo-doc-0.18-r2.apk | 5.4 KiB | 2025-Jun-30 16:50 |
| perl-html-gumbo-0.18-r2.apk | 14.8 KiB | 2025-Jun-30 16:50 |
| perl-html-formhandler-doc-0.40068-r0.apk | 323.2 KiB | 2025-May-01 15:44 |
| perl-html-formhandler-0.40068-r0.apk | 135.5 KiB | 2025-May-01 15:44 |
| perl-html-formatexternal-doc-26-r0.apk | 23.0 KiB | 2025-Jun-07 23:55 |
| perl-html-formatexternal-26-r0.apk | 17.1 KiB | 2025-Jun-07 23:55 |
| perl-hash-ordered-doc-0.014-r0.apk | 19.2 KiB | 2024-Oct-26 05:48 |
| perl-hash-ordered-0.014-r0.apk | 9.8 KiB | 2024-Oct-26 05:48 |
| perl-hash-merge-extra-doc-0.06-r0.apk | 3.4 KiB | 2025-Jun-21 00:19 |
| perl-hash-merge-extra-0.06-r0.apk | 3.1 KiB | 2025-Jun-21 00:19 |
| perl-guard-doc-1.023-r10.apk | 5.3 KiB | 2025-Jun-30 16:50 |
| perl-guard-1.023-r10.apk | 8.8 KiB | 2025-Jun-30 16:50 |
| perl-gtk2-ex-widgetbits-doc-48-r3.apk | 81.2 KiB | 2024-Oct-26 05:48 |
| perl-gtk2-ex-widgetbits-48-r3.apk | 65.7 KiB | 2024-Oct-26 05:48 |
| perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7.3 KiB | 2024-Oct-26 05:48 |
| perl-gtk2-ex-listmodelconcat-11-r4.apk | 12.7 KiB | 2024-Oct-26 05:48 |
| perl-gtk2-doc-1.24993-r7.apk | 678.6 KiB | 2025-Jun-30 16:50 |
| perl-gtk2-1.24993-r7.apk | 865.3 KiB | 2025-Jun-30 16:50 |
| perl-graphql-client-doc-0.605-r0.apk | 13.9 KiB | 2024-Oct-26 05:48 |
| perl-graphql-client-cli-0.605-r0.apk | 7.8 KiB | 2024-Oct-26 05:48 |
| perl-graphql-client-0.605-r0.apk | 7.1 KiB | 2024-Oct-26 05:48 |
| perl-glib-ex-objectbits-doc-17-r0.apk | 22.4 KiB | 2024-Oct-26 05:48 |
| perl-glib-ex-objectbits-17-r0.apk | 15.0 KiB | 2024-Oct-26 05:48 |
| perl-git-version-compare-doc-1.005-r0.apk | 4.8 KiB | 2024-Oct-26 05:48 |
| perl-git-version-compare-1.005-r0.apk | 5.4 KiB | 2024-Oct-26 05:48 |
| perl-git-repository-doc-1.325-r0.apk | 31.5 KiB | 2024-Oct-26 05:48 |
| perl-git-repository-1.325-r0.apk | 16.3 KiB | 2024-Oct-26 05:48 |
| perl-git-raw-doc-0.90-r4.apk | 119.3 KiB | 2025-Jun-30 16:50 |
| perl-git-raw-0.90-r4.apk | 171.8 KiB | 2025-Jun-30 16:50 |
| perl-getopt-tabular-doc-0.3-r4.apk | 16.7 KiB | 2024-Oct-26 05:48 |
| perl-getopt-tabular-0.3-r4.apk | 23.2 KiB | 2024-Oct-26 05:48 |
| perl-getopt-long-descriptive-doc-0.116-r0.apk | 11.0 KiB | 2024-Dec-31 18:40 |
| perl-getopt-long-descriptive-0.116-r0.apk | 14.6 KiB | 2024-Dec-31 18:40 |
| perl-gearman-doc-2.004.015-r3.apk | 19.8 KiB | 2024-Oct-26 05:48 |
| perl-gearman-2.004.015-r3.apk | 27.4 KiB | 2024-Oct-26 05:48 |
| perl-future-queue-doc-0.52-r0.apk | 4.3 KiB | 2024-Oct-26 05:48 |
| perl-future-queue-0.52-r0.apk | 4.1 KiB | 2024-Oct-26 05:48 |
| perl-future-q-doc-0.120-r0.apk | 9.1 KiB | 2024-Oct-26 05:48 |
| perl-future-q-0.120-r0.apk | 9.6 KiB | 2024-Oct-26 05:48 |
| perl-future-http-doc-0.17-r0.apk | 15.8 KiB | 2024-Oct-26 05:48 |
| perl-future-http-0.17-r0.apk | 9.2 KiB | 2024-Oct-26 05:48 |
| perl-future-asyncawait-hooks-doc-0.02-r1.apk | 3.3 KiB | 2025-Jun-30 16:50 |
| perl-future-asyncawait-hooks-0.02-r1.apk | 8.4 KiB | 2025-Jun-30 16:50 |
| perl-full-doc-1.004-r0.apk | 10.3 KiB | 2024-Oct-26 05:48 |
| perl-full-1.004-r0.apk | 7.1 KiB | 2024-Oct-26 05:48 |
| perl-freezethaw-doc-0.5001-r3.apk | 5.7 KiB | 2025-Oct-18 19:32 |
| perl-freezethaw-0.5001-r3.apk | 9.8 KiB | 2025-Oct-18 19:32 |
| perl-flowd-doc-0.9.1-r11.apk | 3.3 KiB | 2025-Jun-30 16:50 |
| perl-flowd-0.9.1-r11.apk | 21.9 KiB | 2025-Jun-30 16:50 |
| perl-finance-quote-doc-1.68-r0.apk | 89.8 KiB | 2025-Dec-22 19:54 |
| perl-finance-quote-1.68-r0.apk | 113.9 KiB | 2025-Dec-22 19:54 |
| perl-file-treecreate-doc-0.0.1-r0.apk | 4.4 KiB | 2025-Jul-16 06:03 |
| perl-file-treecreate-0.0.1-r0.apk | 4.0 KiB | 2025-Jul-16 06:03 |
| perl-file-rename-doc-2.02-r0.apk | 12.1 KiB | 2024-Oct-26 05:48 |
| perl-file-rename-2.02-r0.apk | 7.5 KiB | 2024-Oct-26 05:48 |
| perl-file-mmagic-xs-doc-0.09008-r5.apk | 4.3 KiB | 2025-Jun-30 16:50 |
| perl-file-mmagic-xs-0.09008-r5.apk | 30.8 KiB | 2025-Jun-30 16:50 |
| perl-file-find-object-doc-0.3.9-r0.apk | 13.2 KiB | 2025-Jul-16 06:03 |
| perl-file-find-object-0.3.9-r0.apk | 9.2 KiB | 2025-Jul-16 06:03 |
| perl-file-changenotify-doc-0.31-r0.apk | 14.0 KiB | 2025-Mar-21 01:04 |
| perl-file-changenotify-0.31-r0.apk | 12.1 KiB | 2025-Mar-21 01:04 |
| perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 5.1 KiB | 2024-Oct-26 05:48 |
| perl-ffi-platypus-type-enum-0.06-r0.apk | 5.2 KiB | 2024-Oct-26 05:48 |
| perl-ffi-platypus-doc-2.10-r1.apk | 148.0 KiB | 2025-Jun-30 16:50 |
| perl-ffi-platypus-2.10-r1.apk | 180.4 KiB | 2025-Jun-30 16:50 |
| perl-ffi-c-doc-0.15-r0.apk | 28.6 KiB | 2024-Oct-26 05:48 |
| perl-ffi-c-0.15-r0.apk | 19.9 KiB | 2024-Oct-26 05:48 |
| perl-feed-find-doc-0.13-r0.apk | 3.7 KiB | 2024-Oct-26 05:48 |
| perl-feed-find-0.13-r0.apk | 3.8 KiB | 2024-Oct-26 05:48 |
| perl-extutils-xsbuilder-doc-0.28-r5.apk | 21.1 KiB | 2024-Oct-26 05:48 |
| perl-extutils-xsbuilder-0.28-r5.apk | 43.0 KiB | 2024-Oct-26 05:48 |
| perl-extutils-makemaker-7.76-r0.apk | 174.2 KiB | 2025-Aug-16 23:25 |
| perl-expect-simple-doc-0.04-r0.apk | 4.9 KiB | 2025-Apr-20 13:38 |
| perl-expect-simple-0.04-r0.apk | 5.5 KiB | 2025-Apr-20 13:38 |
| perl-expect-doc-1.38-r0.apk | 20.3 KiB | 2025-Apr-20 04:05 |
| perl-expect-1.38-r0.apk | 32.4 KiB | 2025-Apr-20 04:05 |
| perl-ev-hiredis-doc-0.07-r3.apk | 4.2 KiB | 2025-Jun-30 16:50 |
| perl-ev-hiredis-0.07-r3.apk | 13.1 KiB | 2025-Jun-30 16:50 |
| perl-encode-detect-doc-1.01-r1.apk | 4.8 KiB | 2025-Jun-30 16:50 |
| perl-encode-detect-1.01-r1.apk | 73.5 KiB | 2025-Jun-30 16:50 |
| perl-email-sender-doc-2.601-r0.apk | 42.1 KiB | 2025-Apr-04 17:55 |
| perl-email-sender-2.601-r0.apk | 24.7 KiB | 2025-Apr-04 17:55 |
| perl-email-reply-doc-1.204-r5.apk | 4.8 KiB | 2024-Oct-26 05:48 |
| perl-email-reply-1.204-r5.apk | 6.1 KiB | 2024-Oct-26 05:48 |
| perl-email-mime-attachment-stripper-doc-1.317-r5.apk | 3.8 KiB | 2024-Oct-26 05:48 |
| perl-email-mime-attachment-stripper-1.317-r5.apk | 3.9 KiB | 2024-Oct-26 05:48 |
| perl-email-abstract-doc-3.010-r0.apk | 13.1 KiB | 2024-Oct-26 05:48 |
| perl-email-abstract-3.010-r0.apk | 7.6 KiB | 2024-Oct-26 05:48 |
| perl-dns-unbound-mojo-0.29-r2.apk | 2.6 KiB | 2025-Jun-30 16:50 |
| perl-dns-unbound-ioasync-0.29-r2.apk | 2.4 KiB | 2025-Jun-30 16:50 |
| perl-dns-unbound-doc-0.29-r2.apk | 15.4 KiB | 2025-Jun-30 16:50 |
| perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk | 1.9 KiB | 2025-Jun-30 16:50 |
| perl-dns-unbound-anyevent-0.29-r2.apk | 2.3 KiB | 2025-Jun-30 16:50 |
| perl-dns-unbound-0.29-r2.apk | 23.5 KiB | 2025-Jun-30 16:50 |
| perl-digest-crc-doc-0.24-r2.apk | 3.3 KiB | 2025-Jun-30 16:50 |
| perl-digest-crc-0.24-r2.apk | 9.7 KiB | 2025-Jun-30 16:50 |
| perl-digest-bcrypt-doc-1.212-r1.apk | 5.2 KiB | 2024-Oct-26 05:48 |
| perl-digest-bcrypt-1.212-r1.apk | 5.6 KiB | 2024-Oct-26 05:48 |
| perl-devel-trace-doc-0.12-r0.apk | 3.5 KiB | 2025-Jul-07 07:04 |
| perl-devel-trace-0.12-r0.apk | 3.4 KiB | 2025-Jul-07 07:04 |
| perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk | 3.4 KiB | 2025-Apr-27 22:40 |
| perl-devel-stacktrace-withlexicals-2.01-r0.apk | 3.7 KiB | 2025-Apr-27 22:40 |
| perl-devel-repl-doc-1.003029-r0.apk | 60.1 KiB | 2025-Apr-24 23:50 |
| perl-devel-repl-1.003029-r0.apk | 28.3 KiB | 2025-Apr-24 23:50 |
| perl-devel-refcount-doc-0.10-r2.apk | 4.3 KiB | 2025-Jun-30 16:50 |
| perl-devel-refcount-0.10-r2.apk | 6.4 KiB | 2025-Jun-30 16:50 |
| perl-devel-nytprof-doc-6.14-r1.apk | 50.5 KiB | 2025-Jun-30 16:50 |
| perl-devel-nytprof-6.14-r1.apk | 394.0 KiB | 2025-Jun-30 16:50 |
| perl-devel-leak-doc-0.03-r14.apk | 3.3 KiB | 2025-Jun-30 16:50 |
| perl-devel-leak-0.03-r14.apk | 7.1 KiB | 2025-Jun-30 16:50 |
| perl-devel-confess-doc-0.009004-r0.apk | 6.6 KiB | 2024-Oct-26 05:48 |
| perl-devel-confess-0.009004-r0.apk | 11.4 KiB | 2024-Oct-26 05:48 |
| perl-dbix-lite-doc-0.36-r0.apk | 17.5 KiB | 2024-Dec-30 15:37 |
| perl-dbix-lite-0.36-r0.apk | 18.0 KiB | 2024-Dec-30 15:37 |
| perl-dbix-introspector-doc-0.001005-r4.apk | 8.4 KiB | 2024-Oct-26 05:48 |
| perl-dbix-introspector-0.001005-r4.apk | 8.0 KiB | 2024-Oct-26 05:48 |
| perl-dbix-datasource-doc-0.02-r5.apk | 7.5 KiB | 2024-Oct-26 05:48 |
| perl-dbix-datasource-0.02-r5.apk | 4.3 KiB | 2024-Oct-26 05:48 |
| perl-dbix-connector-doc-0.60-r0.apk | 22.3 KiB | 2024-Dec-30 15:37 |
| perl-dbix-connector-0.60-r0.apk | 14.7 KiB | 2024-Dec-30 15:37 |
| perl-dbix-class-schema-loader-doc-0.07053-r0.apk | 77.3 KiB | 2025-Jun-10 01:27 |
| perl-dbix-class-schema-loader-0.07053-r0.apk | 97.4 KiB | 2025-Jun-10 01:27 |
| perl-dbix-class-helpers-doc-2.037000-r0.apk | 121.4 KiB | 2024-Nov-17 13:16 |
| perl-dbix-class-helpers-2.037000-r0.apk | 47.7 KiB | 2024-Nov-17 13:16 |
| perl-dbix-class-doc-0.082844-r0.apk | 420.5 KiB | 2025-Jan-17 02:28 |
| perl-dbix-class-cursor-cached-doc-1.001004-r0.apk | 3.0 KiB | 2025-Jun-10 01:27 |
| perl-dbix-class-cursor-cached-1.001004-r0.apk | 3.2 KiB | 2025-Jun-10 01:27 |
| perl-dbix-class-candy-doc-0.005004-r0.apk | 9.5 KiB | 2024-Oct-30 15:01 |
| perl-dbix-class-candy-0.005004-r0.apk | 7.9 KiB | 2024-Oct-30 15:01 |
| perl-dbix-class-0.082844-r0.apk | 355.5 KiB | 2025-Jan-17 02:28 |
| perl-dbicx-sugar-doc-0.0200-r5.apk | 5.3 KiB | 2024-Oct-26 05:48 |
| perl-dbicx-sugar-0.0200-r5.apk | 5.9 KiB | 2024-Oct-26 05:48 |
| perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk | 15.0 KiB | 2024-Oct-26 05:48 |
| perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 11.8 KiB | 2024-Oct-26 05:48 |
| perl-datetime-timezone-alias-doc-0.06-r0.apk | 7.6 KiB | 2024-Oct-26 05:48 |
| perl-datetime-timezone-alias-0.06-r0.apk | 2.5 KiB | 2024-Oct-26 05:48 |
| perl-datetime-set-doc-0.3900-r0.apk | 17.9 KiB | 2025-Jul-21 17:46 |
| perl-datetime-set-0.3900-r0.apk | 19.9 KiB | 2025-Jul-21 17:46 |
| perl-datetime-format-rfc3339-doc-1.10.0-r0.apk | 4.1 KiB | 2025-Jan-06 00:08 |
| perl-datetime-format-rfc3339-1.10.0-r0.apk | 4.4 KiB | 2025-Jan-06 00:08 |
| perl-datetime-format-flexible-doc-0.37-r0.apk | 12.1 KiB | 2024-Dec-31 22:28 |
| perl-datetime-format-flexible-0.37-r0.apk | 18.0 KiB | 2024-Dec-31 22:28 |
| perl-datetime-format-atom-doc-1.8.0-r0.apk | 3.8 KiB | 2025-Jan-06 00:08 |
| perl-datetime-format-atom-1.8.0-r0.apk | 3.2 KiB | 2025-Jan-06 00:08 |
| perl-datetime-astro-doc-1.04-r0.apk | 6.0 KiB | 2025-Jul-21 17:46 |
| perl-datetime-astro-1.04-r0.apk | 35.8 KiB | 2025-Jul-21 17:46 |
| perl-date-range-doc-1.41-r0.apk | 4.0 KiB | 2025-Jul-09 01:53 |
| perl-date-range-1.41-r0.apk | 3.8 KiB | 2025-Jul-09 01:53 |
| perl-database-async-engine-postgresql-doc-1.005-r0.apk | 9.3 KiB | 2024-Oct-26 05:48 |
| perl-database-async-engine-postgresql-1.005-r0.apk | 13.9 KiB | 2024-Oct-26 05:48 |
| perl-database-async-doc-0.019-r0.apk | 29.2 KiB | 2024-Oct-26 05:48 |
| perl-database-async-0.019-r0.apk | 22.8 KiB | 2024-Oct-26 05:48 |
| perl-data-visitor-doc-0.32-r0.apk | 8.3 KiB | 2025-Mar-19 23:53 |
| perl-data-visitor-0.32-r0.apk | 9.8 KiB | 2025-Mar-19 23:53 |
| perl-data-validate-ip-doc-0.31-r1.apk | 5.8 KiB | 2024-Oct-26 05:48 |
| perl-data-validate-ip-0.31-r1.apk | 8.8 KiB | 2024-Oct-26 05:48 |
| perl-data-validate-domain-doc-0.15-r0.apk | 5.4 KiB | 2024-Oct-26 05:48 |
| perl-data-validate-domain-0.15-r0.apk | 5.8 KiB | 2024-Oct-26 05:48 |
| perl-data-section-doc-0.200008-r0.apk | 5.6 KiB | 2025-Jul-08 02:02 |
| perl-data-section-0.200008-r0.apk | 6.4 KiB | 2025-Jul-08 02:02 |
| perl-data-dump-streamer-doc-2.42-r1.apk | 17.3 KiB | 2025-Jun-30 16:50 |
| perl-data-dump-streamer-2.42-r1.apk | 50.7 KiB | 2025-Jun-30 16:50 |
| perl-data-clone-doc-0.006-r1.apk | 4.5 KiB | 2025-Jun-30 16:50 |
| perl-data-clone-0.006-r1.apk | 10.1 KiB | 2025-Jun-30 16:50 |
| perl-data-checks-doc-0.11-r0.apk | 8.5 KiB | 2025-Nov-26 17:33 |
| perl-data-checks-0.11-r0.apk | 24.2 KiB | 2025-Nov-26 17:33 |
| perl-data-binary-doc-0.01-r0.apk | 3.1 KiB | 2025-Jul-16 06:03 |
| perl-data-binary-0.01-r0.apk | 2.8 KiB | 2025-Jul-16 06:03 |
| perl-dancer2-doc-1.1.2-r0.apk | 300.8 KiB | 2024-Dec-28 13:55 |
| perl-dancer2-1.1.2-r0.apk | 163.0 KiB | 2024-Dec-28 13:55 |
| perl-dancer-session-cookie-doc-0.30-r2.apk | 4.2 KiB | 2024-Oct-26 05:48 |
| perl-dancer-session-cookie-0.30-r2.apk | 5.4 KiB | 2024-Oct-26 05:48 |
| perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8.4 KiB | 2024-Oct-26 05:48 |
| perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9.7 KiB | 2024-Oct-26 05:48 |
| perl-dancer-plugin-dbic-doc-0.2104-r5.apk | 5.3 KiB | 2024-Oct-26 05:48 |
| perl-dancer-plugin-dbic-0.2104-r5.apk | 4.9 KiB | 2024-Oct-26 05:48 |
| perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 14.8 KiB | 2024-Oct-26 05:48 |
| perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15.3 KiB | 2024-Oct-26 05:48 |
| perl-daemon-control-doc-0.001010-r2.apk | 8.2 KiB | 2024-Oct-26 05:48 |
| perl-daemon-control-0.001010-r2.apk | 12.4 KiB | 2024-Oct-26 05:48 |
| perl-css-object-doc-0.2.0-r0.apk | 32.6 KiB | 2024-Oct-26 05:48 |
| perl-css-object-0.2.0-r0.apk | 22.8 KiB | 2024-Oct-26 05:48 |
| perl-css-inliner-doc-4027-r0.apk | 9.4 KiB | 2025-Jun-16 20:51 |
| perl-css-inliner-4027-r0.apk | 15.8 KiB | 2025-Jun-16 20:51 |
| perl-crypt-saltedhash-doc-0.09-r5.apk | 6.3 KiB | 2024-Oct-26 05:48 |
| perl-crypt-saltedhash-0.09-r5.apk | 6.9 KiB | 2024-Oct-26 05:48 |
| perl-crypt-random-seed-doc-0.03-r0.apk | 8.8 KiB | 2024-Oct-26 05:48 |
| perl-crypt-random-seed-0.03-r0.apk | 11.2 KiB | 2024-Oct-26 05:48 |
| perl-crypt-blowfish-doc-2.14-r1.apk | 4.1 KiB | 2025-Jun-30 16:50 |
| perl-crypt-blowfish-2.14-r1.apk | 12.9 KiB | 2025-Jun-30 16:50 |
| perl-cpansa-db-doc-20250807.001-r0.apk | 5.6 KiB | 2025-Sep-01 22:16 |
| perl-cpansa-db-20250807.001-r0.apk | 1.3 MiB | 2025-Sep-01 22:16 |
| perl-cpan-changes-doc-0.500005-r0.apk | 18.3 KiB | 2025-Aug-16 23:25 |
| perl-cpan-changes-0.500005-r0.apk | 13.4 KiB | 2025-Aug-16 23:25 |
| perl-cpan-audit-doc-20250829.001-r0.apk | 12.4 KiB | 2025-Sep-05 16:32 |
| perl-cpan-audit-20250829.001-r0.apk | 13.5 KiB | 2025-Sep-05 16:32 |
| perl-context-preserve-doc-0.03-r4.apk | 4.2 KiB | 2024-Oct-26 05:48 |
| perl-context-preserve-0.03-r4.apk | 3.8 KiB | 2024-Oct-26 05:48 |
| perl-constant-generate-doc-0.17-r5.apk | 7.0 KiB | 2024-Oct-26 05:48 |
| perl-constant-generate-0.17-r5.apk | 8.7 KiB | 2024-Oct-26 05:48 |
| perl-constant-defer-doc-6-r5.apk | 6.9 KiB | 2024-Oct-26 05:48 |
| perl-constant-defer-6-r5.apk | 7.4 KiB | 2024-Oct-26 05:48 |
| perl-conf-libconfig-doc-1.0.3-r2.apk | 5.5 KiB | 2025-Jun-30 16:50 |
| perl-conf-libconfig-1.0.3-r2.apk | 24.4 KiB | 2025-Jun-30 16:50 |
| perl-color-rgb-util-doc-0.609-r0.apk | 7.4 KiB | 2025-Mar-19 20:46 |
| perl-color-rgb-util-0.609-r0.apk | 9.5 KiB | 2025-Mar-19 20:46 |
| perl-color-ansi-util-doc-0.165-r0.apk | 5.1 KiB | 2024-Oct-26 05:48 |
| perl-color-ansi-util-0.165-r0.apk | 7.3 KiB | 2024-Oct-26 05:48 |
| perl-clipboard-doc-0.32-r1.apk | 26.9 KiB | 2025-Oct-14 01:08 |
| perl-clipboard-0.32-r1.apk | 10.4 KiB | 2025-Oct-14 01:08 |
| perl-cli-osprey-doc-0.08-r0.apk | 12.1 KiB | 2024-Dec-28 13:55 |
| perl-cli-osprey-0.08-r0.apk | 12.7 KiB | 2024-Dec-28 13:55 |
| perl-class-unload-doc-0.11-r0.apk | 3.1 KiB | 2025-Jun-05 02:22 |
| perl-class-unload-0.11-r0.apk | 2.6 KiB | 2025-Jun-05 02:22 |
| perl-class-c3-doc-0.35-r1.apk | 9.3 KiB | 2024-Oct-26 05:48 |
| perl-class-c3-componentised-doc-1.001002-r2.apk | 5.3 KiB | 2024-Oct-26 05:48 |
| perl-class-c3-componentised-1.001002-r2.apk | 5.5 KiB | 2024-Oct-26 05:48 |
| perl-class-c3-adopt-next-doc-0.14-r0.apk | 4.7 KiB | 2025-Mar-15 01:31 |
| perl-class-c3-adopt-next-0.14-r0.apk | 5.1 KiB | 2025-Mar-15 01:31 |
| perl-class-c3-0.35-r1.apk | 9.4 KiB | 2024-Oct-26 05:48 |
| perl-class-accessor-grouped-doc-0.10014-r2.apk | 7.5 KiB | 2024-Oct-26 05:48 |
| perl-class-accessor-grouped-0.10014-r2.apk | 12.0 KiB | 2024-Oct-26 05:48 |
| perl-check-unitcheck-doc-0.13-r2.apk | 3.6 KiB | 2025-Jun-30 16:50 |
| perl-check-unitcheck-0.13-r2.apk | 6.3 KiB | 2025-Jun-30 16:50 |
| perl-cgi-struct-doc-1.21-r0.apk | 6.8 KiB | 2025-Mar-15 01:31 |
| perl-cgi-struct-1.21-r0.apk | 7.8 KiB | 2025-Mar-15 01:31 |
| perl-cgi-simple-doc-1.282-r0.apk | 42.7 KiB | 2025-Aug-30 13:17 |
| perl-cgi-simple-1.282-r0.apk | 55.6 KiB | 2025-Aug-30 13:17 |
| perl-cgi-expand-doc-2.05-r4.apk | 6.1 KiB | 2024-Oct-26 05:48 |
| perl-cgi-expand-2.05-r4.apk | 6.8 KiB | 2024-Oct-26 05:48 |
| perl-catalystx-simplelogin-doc-0.21-r0.apk | 24.2 KiB | 2025-May-07 17:08 |
| perl-catalystx-simplelogin-0.21-r0.apk | 10.7 KiB | 2025-May-07 17:08 |
| perl-catalystx-repl-doc-0.04-r0.apk | 3.6 KiB | 2025-Apr-28 00:07 |
| perl-catalystx-repl-0.04-r0.apk | 3.3 KiB | 2025-Apr-28 00:07 |
| perl-catalystx-profile-doc-0.02-r0.apk | 4.5 KiB | 2025-Jun-15 13:47 |
| perl-catalystx-profile-0.02-r0.apk | 3.1 KiB | 2025-Jun-15 13:47 |
| perl-catalystx-leakchecker-doc-0.06-r0.apk | 3.5 KiB | 2025-Jun-15 13:47 |
| perl-catalystx-leakchecker-0.06-r0.apk | 3.4 KiB | 2025-Jun-15 13:47 |
| perl-catalystx-injectcomponent-doc-0.025-r0.apk | 3.6 KiB | 2025-May-01 15:44 |
| perl-catalystx-injectcomponent-0.025-r0.apk | 3.4 KiB | 2025-May-01 15:44 |
| perl-catalystx-component-traits-doc-0.19-r0.apk | 4.0 KiB | 2025-May-07 17:08 |
| perl-catalystx-component-traits-0.19-r0.apk | 4.3 KiB | 2025-May-07 17:08 |
| perl-catalyst-view-tt-doc-0.46-r0.apk | 12.7 KiB | 2025-Apr-13 00:52 |
| perl-catalyst-view-tt-0.46-r0.apk | 13.6 KiB | 2025-Apr-13 00:52 |
| perl-catalyst-view-email-doc-0.36-r0.apk | 10.8 KiB | 2025-Apr-04 17:55 |
| perl-catalyst-view-email-0.36-r0.apk | 9.1 KiB | 2025-Apr-04 17:55 |
| perl-catalyst-runtime-doc-5.90132-r0.apk | 216.3 KiB | 2025-Mar-19 20:46 |
| perl-catalyst-runtime-5.90132-r0.apk | 149.7 KiB | 2025-Mar-19 20:46 |
| perl-catalyst-plugin-static-simple-doc-0.37-r0.apk | 7.4 KiB | 2025-Mar-21 01:04 |
| perl-catalyst-plugin-static-simple-0.37-r0.apk | 8.7 KiB | 2025-Mar-21 01:04 |
| perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk | 3.9 KiB | 2025-Jun-14 11:46 |
| perl-catalyst-plugin-stacktrace-0.12-r0.apk | 4.7 KiB | 2025-Jun-14 11:46 |
| perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk | 4.0 KiB | 2025-Jun-14 11:46 |
| perl-catalyst-plugin-session-store-file-0.18-r0.apk | 3.6 KiB | 2025-Jun-14 11:46 |
| perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk | 4.5 KiB | 2025-Jun-14 03:18 |
| perl-catalyst-plugin-session-store-delegate-0.06-r0.apk | 4.5 KiB | 2025-Jun-14 03:18 |
| perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk | 6.4 KiB | 2025-Jun-14 03:18 |
| perl-catalyst-plugin-session-store-dbic-0.14-r0.apk | 5.9 KiB | 2025-Jun-14 03:18 |
| perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk | 4.9 KiB | 2025-Apr-20 13:32 |
| perl-catalyst-plugin-session-state-cookie-0.18-r0.apk | 4.9 KiB | 2025-Apr-20 13:32 |
| perl-catalyst-plugin-session-doc-0.43-r0.apk | 25.1 KiB | 2025-Apr-04 20:57 |
| perl-catalyst-plugin-session-0.43-r0.apk | 14.4 KiB | 2025-Apr-04 20:57 |
| perl-catalyst-plugin-i18n-doc-0.10-r0.apk | 13.3 KiB | 2025-Apr-04 17:55 |
| perl-catalyst-plugin-i18n-0.10-r0.apk | 4.1 KiB | 2025-Apr-04 17:55 |
| perl-catalyst-plugin-configloader-doc-0.35-r0.apk | 10.3 KiB | 2025-Mar-21 01:04 |
| perl-catalyst-plugin-configloader-0.35-r0.apk | 5.4 KiB | 2025-Mar-21 01:04 |
| perl-catalyst-plugin-authentication-doc-0.10024-r0.apk | 55.9 KiB | 2025-Mar-29 19:16 |
| perl-catalyst-plugin-authentication-0.10024-r0.apk | 32.2 KiB | 2025-Mar-29 19:16 |
| perl-catalyst-model-dbic-schema-doc-0.66-r0.apk | 17.9 KiB | 2025-Jun-12 01:35 |
| perl-catalyst-model-dbic-schema-0.66-r0.apk | 19.1 KiB | 2025-Jun-12 01:35 |
| perl-catalyst-model-adaptor-doc-0.10-r0.apk | 12.1 KiB | 2025-Apr-13 23:57 |
| perl-catalyst-model-adaptor-0.10-r0.apk | 6.5 KiB | 2025-Apr-13 23:57 |
| perl-catalyst-manual-doc-5.9013-r0.apk | 375.1 KiB | 2025-Apr-04 14:23 |
| perl-catalyst-manual-5.9013-r0.apk | 2.7 KiB | 2025-Apr-04 14:23 |
| perl-catalyst-devel-doc-1.42-r0.apk | 11.4 KiB | 2025-Mar-21 01:04 |
| perl-catalyst-devel-1.42-r0.apk | 54.1 KiB | 2025-Mar-21 01:04 |
| perl-catalyst-controller-actionrole-doc-0.17-r0.apk | 4.4 KiB | 2025-Apr-04 14:42 |
| perl-catalyst-controller-actionrole-0.17-r0.apk | 5.0 KiB | 2025-Apr-04 14:42 |
| perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk | 3.3 KiB | 2025-Jun-19 19:55 |
| perl-catalyst-component-instancepercontext-0.001001-r1.apk | 2.7 KiB | 2025-Jun-19 19:55 |
| perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk | 13.9 KiB | 2025-Jun-12 01:35 |
| perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk | 13.3 KiB | 2025-Jun-12 01:35 |
| perl-catalyst-authentication-credential-http-doc-1.018-r0.apk | 6.8 KiB | 2025-Apr-04 14:41 |
| perl-catalyst-authentication-credential-http-1.018-r0.apk | 8.6 KiB | 2025-Apr-04 14:41 |
| perl-catalyst-actionrole-acl-doc-0.07-r0.apk | 5.7 KiB | 2025-May-07 17:08 |
| perl-catalyst-actionrole-acl-0.07-r0.apk | 4.3 KiB | 2025-May-07 17:08 |
| perl-catalyst-action-rest-doc-1.21-r0.apk | 27.1 KiB | 2025-Apr-01 00:46 |
| perl-catalyst-action-rest-1.21-r0.apk | 25.4 KiB | 2025-Apr-01 00:46 |
| perl-catalyst-action-renderview-doc-0.17-r0.apk | 4.0 KiB | 2025-Mar-19 23:53 |
| perl-catalyst-action-renderview-0.17-r0.apk | 3.8 KiB | 2025-Mar-19 23:53 |
| perl-carp-repl-doc-0.18-r0.apk | 5.9 KiB | 2025-Apr-27 22:40 |
| perl-carp-repl-0.18-r0.apk | 6.0 KiB | 2025-Apr-27 22:40 |
| perl-carp-assert-more-doc-2.9.0-r0.apk | 7.8 KiB | 2025-Apr-13 23:57 |
| perl-carp-assert-more-2.9.0-r0.apk | 9.0 KiB | 2025-Apr-13 23:57 |
| perl-cache-lru-doc-0.04-r0.apk | 3.2 KiB | 2024-Oct-26 05:48 |
| perl-cache-lru-0.04-r0.apk | 2.9 KiB | 2024-Oct-26 05:48 |
| perl-bytes-random-secure-doc-0.29-r0.apk | 12.1 KiB | 2024-Oct-26 05:48 |
| perl-bytes-random-secure-0.29-r0.apk | 14.3 KiB | 2024-Oct-26 05:48 |
| perl-bsd-resource-doc-1.2911-r11.apk | 7.9 KiB | 2025-Jun-30 16:50 |
| perl-bsd-resource-1.2911-r11.apk | 18.8 KiB | 2025-Jun-30 16:50 |
| perl-bind-config-parser-doc-0.01-r5.apk | 3.6 KiB | 2024-Oct-26 05:48 |
| perl-bind-config-parser-0.01-r5.apk | 3.8 KiB | 2024-Oct-26 05:48 |
| perl-bareword-filehandles-doc-0.007-r1.apk | 3.2 KiB | 2025-Jun-30 16:50 |
| perl-bareword-filehandles-0.007-r1.apk | 6.3 KiB | 2025-Jun-30 16:50 |
| perl-barcode-zbar-doc-0.10-r4.apk | 12.7 KiB | 2025-Jun-30 16:50 |
| perl-barcode-zbar-0.10-r4.apk | 30.2 KiB | 2025-Jun-30 16:50 |
| perl-badger-doc-0.16-r1.apk | 262.8 KiB | 2025-Nov-12 08:13 |
| perl-badger-0.16-r1.apk | 253.1 KiB | 2025-Nov-12 08:13 |
| perl-b-utils-doc-0.27-r1.apk | 9.5 KiB | 2025-Jun-30 16:50 |
| perl-b-utils-0.27-r1.apk | 19.5 KiB | 2025-Jun-30 16:50 |
| perl-b-hooks-op-check-doc-0.22-r1.apk | 3.8 KiB | 2025-Jun-30 16:50 |
| perl-b-hooks-op-check-0.22-r1.apk | 6.8 KiB | 2025-Jun-30 16:50 |
| perl-autobox-doc-3.0.2-r1.apk | 8.9 KiB | 2025-Jun-30 16:50 |
| perl-autobox-3.0.2-r1.apk | 19.3 KiB | 2025-Jun-30 16:50 |
| perl-astro-telescope-doc-0.71-r0.apk | 5.0 KiB | 2025-Jul-21 17:46 |
| perl-astro-telescope-0.71-r0.apk | 48.3 KiB | 2025-Jul-21 17:46 |
| perl-astro-satpass-doc-0.134-r0.apk | 132.9 KiB | 2025-Sep-19 02:19 |
| perl-astro-satpass-0.134-r0.apk | 218.1 KiB | 2025-Sep-19 02:19 |
| perl-astro-pal-doc-1.09-r0.apk | 7.4 KiB | 2025-Jul-21 17:46 |
| perl-astro-pal-1.09-r0.apk | 218.8 KiB | 2025-Jul-21 17:46 |
| perl-astro-montenbruck-doc-1.26-r0.apk | 59.7 KiB | 2025-Jul-21 17:46 |
| perl-astro-montenbruck-1.26-r0.apk | 53.4 KiB | 2025-Jul-21 17:46 |
| perl-astro-doc-0.78-r0.apk | 13.8 KiB | 2025-Jul-21 17:46 |
| perl-astro-coords-doc-0.23-r0.apk | 40.3 KiB | 2025-Oct-09 13:09 |
| perl-astro-coords-0.23-r0.apk | 52.6 KiB | 2025-Oct-09 13:09 |
| perl-astro-0.78-r0.apk | 32.0 KiB | 2025-Jul-21 17:46 |
| perl-asa-doc-1.04-r0.apk | 5.0 KiB | 2025-Jun-12 01:34 |
| perl-asa-1.04-r0.apk | 4.3 KiB | 2025-Jun-12 01:34 |
| perl-array-diff-doc-0.09-r0.apk | 3.9 KiB | 2025-Jul-16 06:03 |
| perl-array-diff-0.09-r0.apk | 3.3 KiB | 2025-Jul-16 06:03 |
| perl-archive-extract-doc-0.88-r1.apk | 6.8 KiB | 2024-Oct-26 05:48 |
| perl-archive-extract-0.88-r1.apk | 15.7 KiB | 2024-Oct-26 05:48 |
| perl-archive-any-lite-doc-0.11-r0.apk | 3.7 KiB | 2025-Jul-16 06:03 |
| perl-archive-any-lite-0.11-r0.apk | 4.1 KiB | 2025-Jul-16 06:03 |
| perl-anyevent-riperedis-doc-0.48-r0.apk | 10.3 KiB | 2024-Oct-26 05:48 |
| perl-anyevent-riperedis-0.48-r0.apk | 12.4 KiB | 2024-Oct-26 05:48 |
| perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 4.0 KiB | 2024-Oct-26 05:48 |
| perl-anyevent-dns-etchosts-0.0105-r0.apk | 5.1 KiB | 2024-Oct-26 05:48 |
| perl-anyevent-dbus-doc-0.31-r0.apk | 3.8 KiB | 2025-Dec-13 16:47 |
| perl-anyevent-dbus-0.31-r0.apk | 3.4 KiB | 2025-Dec-13 16:47 |
| perl-alien-base-modulebuild-doc-1.17-r0.apk | 53.4 KiB | 2025-Jun-09 01:25 |
| perl-alien-base-modulebuild-1.17-r0.apk | 21.7 KiB | 2025-Jun-09 01:25 |
| perl-aliased-doc-0.34-r4.apk | 5.6 KiB | 2024-Oct-26 05:48 |
| perl-aliased-0.34-r4.apk | 5.6 KiB | 2024-Oct-26 05:48 |
| perl-algorithm-permute-doc-0.17-r1.apk | 5.1 KiB | 2025-Jun-30 16:50 |
| perl-algorithm-permute-0.17-r1.apk | 12.6 KiB | 2025-Jun-30 16:50 |
| perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 147.7 KiB | 2024-Oct-26 05:48 |
| perl-algorithm-evolutionary-0.82.1-r0.apk | 78.7 KiB | 2024-Oct-26 05:48 |
| perl-algorithm-cron-doc-0.10-r4.apk | 4.6 KiB | 2024-Oct-26 05:48 |
| perl-algorithm-cron-0.10-r4.apk | 6.1 KiB | 2024-Oct-26 05:48 |
| perl-algorithm-c3-doc-0.11-r1.apk | 5.1 KiB | 2024-Oct-26 05:48 |
| perl-algorithm-c3-0.11-r1.apk | 5.6 KiB | 2024-Oct-26 05:48 |
| perl-algorithm-backoff-doc-0.010-r0.apk | 29.3 KiB | 2024-Oct-26 05:48 |
| perl-algorithm-backoff-0.010-r0.apk | 9.6 KiB | 2024-Oct-26 05:48 |
| perl-adapter-async-doc-0.019-r0.apk | 16.8 KiB | 2024-Oct-26 05:48 |
| perl-adapter-async-0.019-r0.apk | 8.1 KiB | 2024-Oct-26 05:48 |
| percona-toolkit-doc-3.5.4-r1.apk | 297.5 KiB | 2024-Oct-26 05:48 |
| percona-toolkit-3.5.4-r1.apk | 1.8 MiB | 2024-Oct-26 05:48 |
| pegasus-frontend-doc-16_alpha-r0.apk | 16.2 KiB | 2024-Oct-26 05:48 |
| pegasus-frontend-16_alpha-r0.apk | 1.2 MiB | 2024-Oct-26 05:48 |
| peg-doc-0.1.18-r1.apk | 13.6 KiB | 2024-Oct-26 05:48 |
| peg-0.1.18-r1.apk | 39.5 KiB | 2024-Oct-26 05:48 |
| peervpn-openrc-0.044-r5.apk | 1.8 KiB | 2024-Oct-26 05:48 |
| peervpn-0.044-r5.apk | 49.6 KiB | 2024-Oct-26 05:48 |
| pebble-le-doc-0.3.0-r2.apk | 3.7 KiB | 2024-Dec-15 06:14 |
| pebble-le-dev-0.3.0-r2.apk | 67.2 KiB | 2024-Dec-15 06:14 |
| pebble-le-0.3.0-r2.apk | 64.1 KiB | 2024-Dec-15 06:14 |
| pdfcrack-0.21-r0.apk | 34.1 KiB | 2025-Nov-19 17:08 |
| pdf2svg-0.2.4-r0.apk | 4.7 KiB | 2025-Sep-29 03:49 |
| pdal-python-plugins-1.6.5-r0.apk | 241.1 KiB | 2025-Jun-22 06:30 |
| pcl-libs-1.14.1-r0.apk | 1.3 MiB | 2025-Feb-17 21:09 |
| pcl-dev-1.14.1-r0.apk | 386.9 KiB | 2025-Feb-17 21:09 |
| pcl-1.14.1-r0.apk | 496.2 KiB | 2025-Feb-17 21:09 |
| pathvector-6.3.2-r18.apk | 3.9 MiB | 2025-Dec-06 01:44 |
| pasystray-doc-0.8.2-r0.apk | 3.3 KiB | 2024-Oct-26 05:48 |
| pasystray-0.8.2-r0.apk | 45.7 KiB | 2024-Oct-26 05:48 |
| pash-2.3.0-r2.apk | 4.3 KiB | 2024-Oct-26 05:48 |
| parse-changelog-0.6.12-r0.apk | 529.4 KiB | 2025-May-19 07:19 |
| parpar-0.4.5-r0.apk | 1.5 MiB | 2025-Oct-13 06:03 |
| parcellite-lang-1.2.5-r0.apk | 48.8 KiB | 2024-Oct-26 05:48 |
| parcellite-doc-1.2.5-r0.apk | 25.2 KiB | 2024-Oct-26 05:48 |
| parcellite-1.2.5-r0.apk | 227.0 KiB | 2024-Oct-26 05:48 |
| par2cmdline-turbo-doc-1.3.0-r0.apk | 5.9 KiB | 2025-May-08 21:25 |
| par2cmdline-turbo-1.3.0-r0.apk | 173.1 KiB | 2025-May-08 21:25 |
| par-doc-1.53.0-r1.apk | 30.3 KiB | 2024-Oct-26 05:48 |
| par-1.53.0-r1.apk | 14.5 KiB | 2024-Oct-26 05:48 |
| paprefs-lang-1.2-r2.apk | 37.9 KiB | 2024-Nov-23 07:05 |
| paprefs-1.2-r2.apk | 30.1 KiB | 2024-Nov-23 07:05 |
| paperkey-doc-1.6-r2.apk | 4.5 KiB | 2024-Oct-26 05:48 |
| paperkey-1.6-r2.apk | 16.1 KiB | 2024-Oct-26 05:48 |
| paperde-dev-0.3.0-r2.apk | 5.0 KiB | 2025-Aug-21 17:01 |
| paperde-0.3.0-r2.apk | 613.7 KiB | 2025-Aug-21 17:01 |
| pantalaimon-ui-0.10.5-r4.apk | 1.7 KiB | 2024-Oct-26 05:48 |
| pantalaimon-pyc-0.10.5-r4.apk | 82.8 KiB | 2024-Oct-26 05:48 |
| pantalaimon-doc-0.10.5-r4.apk | 6.4 KiB | 2024-Oct-26 05:48 |
| pantalaimon-0.10.5-r4.apk | 44.7 KiB | 2024-Oct-26 05:48 |
| pandora_box-0.17.0-r0.apk | 410.2 KiB | 2025-Dec-21 04:19 |
| pamtester-doc-0.1.2-r4.apk | 2.9 KiB | 2024-Oct-26 05:48 |
| pamtester-0.1.2-r4.apk | 9.2 KiB | 2024-Oct-26 05:48 |
| pam_sqlite3-1.0.2-r2.apk | 9.0 KiB | 2024-Oct-26 05:48 |
| pam-pkcs11-systemd-0.6.13-r1.apk | 1.8 KiB | 2025-Nov-17 22:28 |
| pam-pkcs11-doc-0.6.13-r1.apk | 14.2 KiB | 2025-Nov-17 22:28 |
| pam-pkcs11-0.6.13-r1.apk | 264.2 KiB | 2025-Nov-17 22:28 |
| pam-krb5-doc-4.11-r1.apk | 23.6 KiB | 2024-Oct-26 05:48 |
| pam-krb5-4.11-r1.apk | 23.0 KiB | 2024-Oct-26 05:48 |
| paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk | 1.9 KiB | 2025-Aug-05 16:44 |
| paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk | 1.8 KiB | 2025-Aug-05 16:44 |
| paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk | 1.9 KiB | 2025-Aug-05 16:44 |
| paged-markdown-3-pdf-0.1.3-r0.apk | 497.7 KiB | 2025-Aug-05 16:44 |
| pacparser-doc-1.4.5-r1.apk | 17.8 KiB | 2024-Oct-26 05:48 |
| pacparser-dev-1.4.5-r1.apk | 3.6 KiB | 2024-Oct-26 05:48 |
| pacparser-1.4.5-r1.apk | 784.1 KiB | 2024-Oct-26 05:48 |
| packwiz-doc-0_git20251102-r1.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| packwiz-0_git20251102-r1.apk | 4.6 MiB | 2025-Dec-06 01:44 |
| p910nd-openrc-0.97-r2.apk | 2.1 KiB | 2024-Oct-26 05:48 |
| p910nd-doc-0.97-r2.apk | 3.2 KiB | 2024-Oct-26 05:48 |
| p910nd-0.97-r2.apk | 7.9 KiB | 2024-Oct-26 05:48 |
| p0f-doc-3.09b-r3.apk | 25.3 KiB | 2024-Oct-26 05:48 |
| p0f-3.09b-r3.apk | 77.4 KiB | 2024-Oct-26 05:48 |
| oxygen-icons-6.1.0-r0.apk | 31.6 MiB | 2024-Oct-26 05:48 |
| ovpncc-doc-0.1_rc1-r0.apk | 6.5 KiB | 2024-Oct-26 05:48 |
| ovpncc-0.1_rc1-r0.apk | 11.9 KiB | 2024-Oct-26 05:48 |
| ovos-phal-pyc-0.2.10-r0.apk | 7.2 KiB | 2025-Jul-16 06:03 |
| ovos-phal-0.2.10-r0.apk | 10.5 KiB | 2025-Jul-16 06:03 |
| ovos-messagebus-pyc-0.0.10-r0.apk | 6.7 KiB | 2025-Apr-08 16:56 |
| ovos-messagebus-0.0.10-r0.apk | 10.0 KiB | 2025-Apr-08 16:56 |
| ovos-gui-pyc-1.3.3-r0.apk | 38.4 KiB | 2025-Jul-16 06:03 |
| ovos-gui-1.3.3-r0.apk | 37.9 KiB | 2025-Jul-16 06:03 |
| ovos-dinkum-listener-pyc-0.4.1-r0.apk | 56.8 KiB | 2025-Jul-16 06:03 |
| ovos-dinkum-listener-0.4.1-r0.apk | 108.9 KiB | 2025-Jul-16 06:03 |
| ovos-core-pyc-2.1.0-r0.apk | 58.3 KiB | 2025-Nov-03 03:39 |
| ovos-core-2.1.0-r0.apk | 49.0 KiB | 2025-Nov-03 03:39 |
| ovos-audio-pyc-1.0.1-r0.apk | 35.8 KiB | 2025-Jul-16 06:03 |
| ovos-audio-1.0.1-r0.apk | 136.3 KiB | 2025-Jul-16 06:03 |
| ovn-openrc-25.09.2-r0.apk | 2.4 KiB | 2025-Dec-15 07:06 |
| ovn-doc-25.09.2-r0.apk | 263.7 KiB | 2025-Dec-15 07:06 |
| ovn-dev-25.09.2-r0.apk | 4.6 MiB | 2025-Dec-15 07:06 |
| ovn-dbg-25.09.2-r0.apk | 6.8 MiB | 2025-Dec-15 07:06 |
| ovn-25.09.2-r0.apk | 2.0 MiB | 2025-Dec-15 07:06 |
| ovhcloud-cli-0.9.0-r0.apk | 7.1 MiB | 2025-Dec-14 19:05 |
| ouch-zsh-completion-0.6.1-r0.apk | 3.1 KiB | 2025-May-28 16:39 |
| ouch-fish-completion-0.6.1-r0.apk | 3.0 KiB | 2025-May-28 16:39 |
| ouch-doc-0.6.1-r0.apk | 3.8 KiB | 2025-May-28 16:39 |
| ouch-bash-completion-0.6.1-r0.apk | 2.5 KiB | 2025-May-28 16:39 |
| ouch-0.6.1-r0.apk | 1.6 MiB | 2025-May-28 16:39 |
| otrs-setup-6.0.48-r2.apk | 107.3 KiB | 2024-Oct-26 05:47 |
| otrs-openrc-6.0.48-r2.apk | 1.9 KiB | 2024-Oct-26 05:47 |
| otrs-nginx-6.0.48-r2.apk | 1.8 KiB | 2024-Oct-26 05:47 |
| otrs-fastcgi-6.0.48-r2.apk | 1.8 KiB | 2024-Oct-26 05:47 |
| otrs-doc-6.0.48-r2.apk | 795.1 KiB | 2024-Oct-26 05:47 |
| otrs-dev-6.0.48-r2.apk | 3.9 MiB | 2024-Oct-26 05:47 |
| otrs-bash-completion-6.0.48-r2.apk | 2.4 KiB | 2024-Oct-26 05:47 |
| otrs-apache2-6.0.48-r2.apk | 4.0 KiB | 2024-Oct-26 05:47 |
| otrs-6.0.48-r2.apk | 28.7 MiB | 2024-Oct-26 05:47 |
| otpclient-doc-4.1.1-r0.apk | 3.6 KiB | 2025-Jul-23 07:44 |
| otpclient-4.1.1-r0.apk | 118.0 KiB | 2025-Jul-23 07:44 |
| otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 47.7 KiB | 2024-Oct-26 05:47 |
| otf-atkinson-hyperlegible-2020.0514-r1.apk | 101.6 KiB | 2024-Oct-26 05:47 |
| ostui-doc-1.0.4-r0.apk | 27.9 KiB | 2025-Sep-11 11:50 |
| ostui-1.0.4-r0.apk | 4.7 MiB | 2025-Sep-11 11:50 |
| osmctools-0.9-r0.apk | 120.1 KiB | 2024-Oct-26 05:47 |
| organicmaps-2025.09.05.1-r0.apk | 126.7 MiB | 2025-Oct-20 22:50 |
| orage-lang-4.20.1-r0.apk | 1.2 MiB | 2025-Apr-08 20:49 |
| orage-4.20.1-r0.apk | 577.2 KiB | 2025-Apr-08 20:49 |
| oppa-1.1.0-r0.apk | 525.3 KiB | 2025-Oct-12 22:22 |
| opmsg-1.84-r1.apk | 270.5 KiB | 2024-Oct-26 05:47 |
| opkg-utils-doc-0.7.0-r0.apk | 3.6 KiB | 2024-Oct-26 05:47 |
| opkg-utils-0.7.0-r0.apk | 25.2 KiB | 2024-Oct-26 05:47 |
| opkg-libs-0.7.0-r0.apk | 80.1 KiB | 2024-Oct-26 05:47 |
| opkg-doc-0.7.0-r0.apk | 7.7 KiB | 2024-Oct-26 05:47 |
| opkg-dev-0.7.0-r0.apk | 178.8 KiB | 2024-Oct-26 05:47 |
| opkg-0.7.0-r0.apk | 10.3 KiB | 2024-Oct-26 05:47 |
| openwsman-libs-2.8.1-r1.apk | 324.3 KiB | 2025-Jun-30 16:50 |
| openwsman-doc-2.8.1-r1.apk | 2.4 KiB | 2025-Jun-30 16:50 |
| openwsman-dev-2.8.1-r1.apk | 55.7 KiB | 2025-Jun-30 16:50 |
| openwsman-2.8.1-r1.apk | 48.1 KiB | 2025-Jun-30 16:50 |
| openvpn3-dev-3.8.5-r1.apk | 667.0 KiB | 2025-Feb-17 21:09 |
| openvpn3-3.8.5-r1.apk | 396.6 KiB | 2025-Feb-17 21:09 |
| openterface-qt-0.5.7-r0.apk | 1.0 MiB | 2025-Dec-22 14:33 |
| opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk | 52.4 KiB | 2025-Nov-22 22:37 |
| opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk | 94.1 KiB | 2025-Nov-22 22:37 |
| opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk | 66.4 KiB | 2025-Nov-22 22:37 |
| opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk | 46.1 KiB | 2025-Nov-22 22:37 |
| opentelemetry-cpp-dev-1.24.0-r0.apk | 521.3 KiB | 2025-Nov-22 22:37 |
| opentelemetry-cpp-1.24.0-r0.apk | 636.7 KiB | 2025-Nov-22 22:37 |
| openswitcher-proxy-openrc-0.5.0-r4.apk | 2.0 KiB | 2024-Oct-26 05:47 |
| openswitcher-proxy-0.5.0-r4.apk | 9.4 KiB | 2024-Oct-26 05:47 |
| openswitcher-0.5.0-r4.apk | 148.4 KiB | 2024-Oct-26 05:47 |
| openscap-daemon-pyc-0.1.10-r9.apk | 101.5 KiB | 2024-Oct-26 05:47 |
| openscap-daemon-doc-0.1.10-r9.apk | 17.5 KiB | 2024-Oct-26 05:47 |
| openscap-daemon-0.1.10-r9.apk | 60.1 KiB | 2024-Oct-26 05:47 |
| openrdap-doc-0.9.1-r0.apk | 2.2 KiB | 2025-Jun-25 00:50 |
| openrdap-0.9.1-r0.apk | 3.2 MiB | 2025-Jun-25 00:50 |
| openocd-riscv-udev-rules-0_git20230104-r2.apk | 3.3 KiB | 2024-Oct-26 05:47 |
| openocd-riscv-doc-0_git20230104-r2.apk | 3.3 KiB | 2024-Oct-26 05:47 |
| openocd-riscv-dev-0_git20230104-r2.apk | 3.7 KiB | 2024-Oct-26 05:47 |
| openocd-riscv-0_git20230104-r2.apk | 1.5 MiB | 2024-Oct-26 05:47 |
| openocd-git-udev-0_git20251018-r1.apk | 3.5 KiB | 2025-Oct-21 06:35 |
| openocd-git-doc-0_git20251018-r1.apk | 3.2 KiB | 2025-Oct-21 06:35 |
| openocd-git-dev-0_git20251018-r1.apk | 3.3 KiB | 2025-Oct-21 06:35 |
| openocd-git-dbg-0_git20251018-r1.apk | 4.3 MiB | 2025-Oct-21 06:35 |
| openocd-git-cmd-openocd-0_git20251018-r1.apk | 1.6 KiB | 2025-Oct-21 06:35 |
| openocd-git-0_git20251018-r1.apk | 1.7 MiB | 2025-Oct-21 06:35 |
| openocd-esp32-udev-0_git20250707-r2.apk | 3.5 KiB | 2025-Oct-21 06:35 |
| openocd-esp32-doc-0_git20250707-r2.apk | 3.2 KiB | 2025-Oct-21 06:35 |
| openocd-esp32-dev-0_git20250707-r2.apk | 3.7 KiB | 2025-Oct-21 06:35 |
| openocd-esp32-0_git20250707-r2.apk | 1.9 MiB | 2025-Oct-21 06:35 |
| openfpgaloader-0.11.0-r0.apk | 2.0 MiB | 2024-Oct-26 05:47 |
| openfortivpn-doc-1.22.1-r0.apk | 6.3 KiB | 2024-Dec-12 06:38 |
| openfortivpn-1.22.1-r0.apk | 41.1 KiB | 2024-Dec-12 06:38 |
| openfire-plugins-4.8.1-r1.apk | 72.3 KiB | 2024-Dec-03 23:38 |
| openfire-openrc-4.8.1-r1.apk | 1.9 KiB | 2024-Dec-03 23:38 |
| openfire-doc-4.8.1-r1.apk | 3.8 MiB | 2024-Dec-03 23:38 |
| openfire-4.8.1-r1.apk | 45.8 MiB | 2024-Dec-03 23:38 |
| opendht-libs-3.1.11-r0.apk | 583.3 KiB | 2025-Jan-28 05:57 |
| opendht-doc-3.1.11-r0.apk | 3.0 KiB | 2025-Jan-28 05:57 |
| opendht-dev-3.1.11-r0.apk | 70.9 KiB | 2025-Jan-28 05:57 |
| opendht-3.1.11-r0.apk | 186.3 KiB | 2025-Jan-28 05:57 |
| openconnect-sso-pyc-0.8.0_git20230822-r0.apk | 29.3 KiB | 2025-May-14 21:31 |
| openconnect-sso-0.8.0_git20230822-r0.apk | 29.3 KiB | 2025-May-14 21:31 |
| openapi-validator-1.19.2-r0.apk | 10.0 MiB | 2024-Oct-26 05:47 |
| opcr-policy-0.3.1-r1.apk | 9.7 MiB | 2025-Dec-06 01:44 |
| oniux-doc-0.6.1-r0.apk | 3.3 KiB | 2025-Sep-09 00:40 |
| oniux-0.6.1-r0.apk | 5.7 MiB | 2025-Sep-09 00:40 |
| onionshare-pyc-2.6.3-r1.apk | 240.5 KiB | 2025-Nov-15 11:10 |
| onionshare-desktop-2.6.3-r1.apk | 1.3 MiB | 2025-Nov-15 11:10 |
| onionshare-2.6.3-r1.apk | 179.6 KiB | 2025-Nov-15 11:10 |
| olsrd-plugins-0.9.8-r3.apk | 189.3 KiB | 2024-Oct-26 05:47 |
| olsrd-openrc-0.9.8-r3.apk | 1.9 KiB | 2024-Oct-26 05:47 |
| olsrd-doc-0.9.8-r3.apk | 25.1 KiB | 2024-Oct-26 05:47 |
| olsrd-0.9.8-r3.apk | 168.0 KiB | 2024-Oct-26 05:47 |
| ol-doc-2.6-r0.apk | 2.6 KiB | 2025-May-01 15:25 |
| ol-dev-2.6-r0.apk | 15.8 KiB | 2025-May-01 15:25 |
| ol-2.6-r0.apk | 1.0 MiB | 2025-May-01 15:25 |
| oils-for-unix-doc-0.35.0-r0.apk | 7.0 KiB | 2025-Sep-29 07:30 |
| oils-for-unix-binsh-0.35.0-r0.apk | 1.5 KiB | 2025-Sep-29 07:30 |
| oils-for-unix-bash-0.35.0-r0.apk | 1.7 KiB | 2025-Sep-29 07:30 |
| oils-for-unix-0.35.0-r0.apk | 649.3 KiB | 2025-Sep-29 07:30 |
| oil-doc-0.21.0-r0.apk | 7.0 KiB | 2024-Oct-26 05:47 |
| oil-0.21.0-r0.apk | 1.5 MiB | 2024-Oct-26 05:47 |
| octoprint-pyc-1.10.3-r0.apk | 1.2 MiB | 2024-Dec-26 07:04 |
| octoprint-pisupport-pyc-2023.10.10-r1.apk | 12.8 KiB | 2024-Oct-26 05:47 |
| octoprint-pisupport-2023.10.10-r1.apk | 30.8 KiB | 2024-Oct-26 05:47 |
| octoprint-openrc-1.10.3-r0.apk | 1.7 KiB | 2024-Dec-26 07:04 |
| octoprint-firmwarecheck-pyc-2021.10.11-r2.apk | 17.6 KiB | 2024-Oct-26 05:47 |
| octoprint-firmwarecheck-2021.10.11-r2.apk | 29.3 KiB | 2024-Oct-26 05:47 |
| octoprint-filecheck-pyc-2024.11.12-r0.apk | 11.6 KiB | 2025-Jul-16 06:03 |
| octoprint-filecheck-2024.11.12-r0.apk | 28.0 KiB | 2025-Jul-16 06:03 |
| octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3.3 KiB | 2024-Oct-26 05:47 |
| octoprint-creality2xfix-0.0.4-r2.apk | 4.7 KiB | 2024-Oct-26 05:47 |
| octoprint-1.10.3-r0.apk | 3.0 MiB | 2024-Dec-26 07:04 |
| ocfs2-tools-doc-1.8.7-r4.apk | 69.4 KiB | 2024-Oct-26 05:47 |
| ocfs2-tools-dev-1.8.7-r4.apk | 44.9 KiB | 2024-Oct-26 05:47 |
| ocfs2-tools-1.8.7-r4.apk | 1.2 MiB | 2024-Oct-26 05:47 |
| ocaml5-llvm-next-22.0.0_pre20251226-r0.apk | 742.4 KiB | 2025-Dec-27 20:04 |
| obnc-doc-0.17.2-r0.apk | 33.3 KiB | 2025-May-26 08:37 |
| obnc-0.17.2-r0.apk | 161.5 KiB | 2025-May-26 08:37 |
| oblibs-dev-0.3.4.0-r0.apk | 494.9 KiB | 2025-Jun-01 10:39 |
| oblibs-dbg-0.3.4.0-r0.apk | 98.1 KiB | 2025-Jun-01 10:39 |
| oblibs-0.3.4.0-r0.apk | 34.1 KiB | 2025-Jun-01 10:39 |
| objconv-2.52_git20210213-r2.apk | 265.0 KiB | 2024-Oct-26 05:47 |
| oauth2-proxy-openrc-7.11.0-r4.apk | 2.1 KiB | 2025-Dec-06 01:44 |
| oauth2-proxy-7.11.0-r4.apk | 8.4 MiB | 2025-Dec-06 01:44 |
| nzbget-openrc-25.4-r0.apk | 2.1 KiB | 2025-Nov-17 23:42 |
| nzbget-25.4-r0.apk | 4.8 MiB | 2025-Nov-17 23:42 |
| nymphcast-mediaserver-nftables-0.1-r4.apk | 1.7 KiB | 2025-Aug-29 06:10 |
| nymphcast-mediaserver-0.1-r4.apk | 73.2 KiB | 2025-Aug-29 06:10 |
| nwipe-doc-0.39-r0.apk | 3.8 KiB | 2025-Dec-06 01:44 |
| nwipe-0.39-r0.apk | 269.8 KiB | 2025-Dec-06 01:44 |
| nwg-panel-pyc-0.10.13-r0.apk | 269.7 KiB | 2025-Nov-28 22:29 |
| nwg-panel-doc-0.10.13-r0.apk | 4.4 KiB | 2025-Nov-28 22:29 |
| nwg-panel-0.10.13-r0.apk | 288.0 KiB | 2025-Nov-28 22:29 |
| nwg-menu-doc-0.1.9-r4.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| nwg-menu-0.1.9-r4.apk | 1.7 MiB | 2025-Dec-06 01:44 |
| nwg-look-doc-1.0.6-r1.apk | 4.2 KiB | 2025-Dec-06 01:44 |
| nwg-look-1.0.6-r1.apk | 1.4 MiB | 2025-Dec-06 01:44 |
| nwg-dock-0.4.3-r5.apk | 1.7 MiB | 2025-Dec-06 01:44 |
| nwg-displays-pyc-0.3.26-r0.apk | 36.1 KiB | 2025-Aug-28 16:39 |
| nwg-displays-0.3.26-r0.apk | 26.7 KiB | 2025-Aug-28 16:39 |
| nwg-bar-0.1.6-r15.apk | 1.6 MiB | 2025-Dec-06 01:44 |
| nvtop-doc-3.2.0-r0.apk | 3.5 KiB | 2025-Apr-30 08:39 |
| nvtop-3.2.0-r0.apk | 65.4 KiB | 2025-Apr-30 08:39 |
| nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 2.0 KiB | 2024-Oct-26 05:47 |
| nvim-cmp-path-0.0.0_git20221002-r1.apk | 3.8 KiB | 2024-Oct-26 05:47 |
| nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 2.0 KiB | 2024-Oct-26 05:47 |
| nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3.5 KiB | 2024-Oct-26 05:47 |
| nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2.6 KiB | 2024-Oct-26 05:47 |
| nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3.5 KiB | 2024-Oct-26 05:47 |
| nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10.5 KiB | 2024-Oct-26 05:47 |
| nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1.8 KiB | 2024-Oct-26 05:47 |
| nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3.3 KiB | 2024-Oct-26 05:47 |
| nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 4.2 KiB | 2024-Oct-26 05:47 |
| nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7.8 KiB | 2024-Oct-26 05:47 |
| nvim-cmp-0.0.0_git20221011-r1.apk | 54.8 KiB | 2024-Oct-26 05:47 |
| nvidia-src-575.64.05-r0.apk | 17.7 MiB | 2025-Jul-23 07:35 |
| nuzzle-doc-1.6-r0.apk | 3.2 KiB | 2025-Jan-17 23:26 |
| nuzzle-1.6-r0.apk | 11.7 KiB | 2025-Jan-17 23:26 |
| numbat-doc-1.16.0-r0.apk | 31.9 KiB | 2025-Aug-19 22:29 |
| numbat-1.16.0-r0.apk | 1.7 MiB | 2025-Aug-19 22:29 |
| nullmailer-openrc-2.2-r4.apk | 1.8 KiB | 2024-Oct-26 05:47 |
| nullmailer-doc-2.2-r4.apk | 10.4 KiB | 2024-Oct-26 05:47 |
| nullmailer-2.2-r4.apk | 127.9 KiB | 2024-Oct-26 05:47 |
| nuklear-doc-4.12.0-r0.apk | 42.4 KiB | 2024-Oct-26 05:47 |
| nuklear-4.12.0-r0.apk | 219.8 KiB | 2024-Oct-26 05:47 |
| ntpd-rs-openrc-1.6.2-r2.apk | 1.9 KiB | 2025-Dec-19 02:58 |
| ntpd-rs-doc-1.6.2-r2.apk | 24.2 KiB | 2025-Dec-19 02:58 |
| ntpd-rs-1.6.2-r2.apk | 3.1 MiB | 2025-Dec-19 02:58 |
| nsq-1.3.0-r10.apk | 24.9 MiB | 2025-May-12 18:19 |
| nsnake-doc-3.0.0-r0.apk | 2.9 KiB | 2024-Oct-26 05:47 |
| nsnake-3.0.0-r0.apk | 8.9 KiB | 2024-Oct-26 05:47 |
| nrf5-sdk-doc-17.1.0-r0.apk | 3.6 KiB | 2025-Aug-20 04:54 |
| nrf5-sdk-17.1.0-r0.apk | 47.0 MiB | 2025-Aug-20 04:54 |
| notification-daemon-3.20.0-r1.apk | 59.2 KiB | 2025-Jul-02 19:32 |
| normaliz-libs-3.10.4-r3.apk | 2.9 MiB | 2025-Nov-26 17:33 |
| normaliz-dev-3.10.4-r3.apk | 72.6 KiB | 2025-Nov-26 17:33 |
| normaliz-3.10.4-r3.apk | 41.7 KiB | 2025-Nov-26 17:33 |
| nomadnet-pyc-0.8.0-r0.apk | 284.6 KiB | 2025-Sep-24 11:26 |
| nomadnet-0.8.0-r0.apk | 143.3 KiB | 2025-Sep-24 11:26 |
| nom-doc-2.8.0-r8.apk | 4.0 KiB | 2025-Dec-06 01:44 |
| nom-2.8.0-r8.apk | 6.8 MiB | 2025-Dec-06 01:44 |
| noice-doc-0.8-r1.apk | 3.3 KiB | 2024-Oct-26 05:47 |
| noice-0.8-r1.apk | 9.7 KiB | 2024-Oct-26 05:47 |
| noggin-model-lightweight-0.1-r0.apk | 1.7 MiB | 2024-Oct-26 05:47 |
| noggin-model-0.1-r0.apk | 12.0 MiB | 2024-Oct-26 05:47 |
| noggin-doc-0.1-r23.apk | 2.9 KiB | 2025-Dec-26 22:37 |
| noggin-0.1-r23.apk | 1.4 MiB | 2025-Dec-26 22:37 |
| node-libpg-query-13.1.2-r5.apk | 18.8 KiB | 2024-Oct-26 05:47 |
| noblenote-1.2.1-r1.apk | 426.5 KiB | 2024-Oct-26 05:47 |
| nmap-parse-output-doc-1.5.1-r1.apk | 807.7 KiB | 2025-May-29 21:04 |
| nmap-parse-output-bash-completion-1.5.1-r1.apk | 2.0 KiB | 2025-May-29 21:04 |
| nmap-parse-output-1.5.1-r1.apk | 20.5 KiB | 2025-May-29 21:04 |
| nm-tray-lang-0.5.1-r0.apk | 27.2 KiB | 2025-Sep-12 02:35 |
| nm-tray-0.5.1-r0.apk | 97.6 KiB | 2025-Sep-12 02:35 |
| nkk-doc-0_git20221010-r0.apk | 6.9 KiB | 2024-Oct-26 05:47 |
| nkk-dev-0_git20221010-r0.apk | 2.9 KiB | 2024-Oct-26 05:47 |
| nkk-0_git20221010-r0.apk | 14.9 KiB | 2024-Oct-26 05:47 |
| nitro-init-doc-0.6-r0.apk | 4.6 KiB | 2025-Dec-17 08:50 |
| nitro-init-0.6-r0.apk | 23.0 KiB | 2025-Dec-17 08:50 |
| nitro-dev-2.7_beta8-r2.apk | 190.0 KiB | 2024-Oct-26 05:47 |
| nitro-2.7_beta8-r2.apk | 556.4 KiB | 2024-Oct-26 05:47 |
| nicotine-plus-pyc-3.3.10-r0.apk | 788.9 KiB | 2025-May-02 03:06 |
| nicotine-plus-lang-3.3.10-r0.apk | 757.0 KiB | 2025-May-02 03:06 |
| nicotine-plus-doc-3.3.10-r0.apk | 2.6 KiB | 2025-May-02 03:06 |
| nicotine-plus-3.3.10-r0.apk | 1.6 MiB | 2025-May-02 03:06 |
| ngs-vim-0.2.14-r0.apk | 4.9 KiB | 2024-Oct-26 05:47 |
| ngs-aws-0.2.14-r0.apk | 32.7 KiB | 2024-Oct-26 05:47 |
| ngs-0.2.14-r0.apk | 291.2 KiB | 2024-Oct-26 05:47 |
| nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk | 21.3 KiB | 2024-Oct-26 05:47 |
| nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk | 712.7 KiB | 2024-Oct-26 05:47 |
| nfoview-doc-2.1-r0.apk | 8.0 KiB | 2025-Apr-13 19:30 |
| nfoview-2.1-r0.apk | 38.7 KiB | 2025-Apr-13 19:30 |
| nfcd-systemd-1.2.3-r1.apk | 1.8 KiB | 2025-Nov-15 20:16 |
| nfcd-dev-1.2.3-r1.apk | 24.4 KiB | 2025-Nov-15 20:16 |
| nfcd-1.2.3-r1.apk | 332.8 KiB | 2025-Nov-15 20:16 |
| nextpnr-ice40-0.7-r0.apk | 68.6 MiB | 2024-Oct-26 05:47 |
| nextpnr-gowin-0.7-r0.apk | 1.5 MiB | 2024-Oct-26 05:47 |
| nextpnr-generic-0.7-r0.apk | 761.4 KiB | 2024-Oct-26 05:47 |
| nextpnr-ecp5-0.7-r0.apk | 25.4 MiB | 2024-Oct-26 05:47 |
| nextpnr-0.7-r0.apk | 1.5 KiB | 2024-Oct-26 05:47 |
| newsyslog-doc-1.2.0.91-r1.apk | 24.1 KiB | 2024-Oct-26 05:47 |
| newsyslog-1.2.0.91-r1.apk | 17.5 KiB | 2024-Oct-26 05:47 |
| networkmanager-dmenu-doc-2.6.1-r1.apk | 6.8 KiB | 2025-Oct-14 01:08 |
| networkmanager-dmenu-2.6.1-r1.apk | 13.8 KiB | 2025-Oct-14 01:08 |
| netsed-1.4-r0.apk | 10.0 KiB | 2025-Aug-18 19:15 |
| netscanner-doc-0.5.1-r1.apk | 3.3 KiB | 2024-Oct-26 05:47 |
| netscanner-0.5.1-r1.apk | 3.6 MiB | 2024-Oct-26 05:47 |
| netdiscover-doc-0.21-r0.apk | 4.2 KiB | 2025-Aug-15 21:46 |
| netdiscover-0.21-r0.apk | 463.6 KiB | 2025-Aug-15 21:46 |
| net-predictable-doc-1.5.1-r5.apk | 2.2 KiB | 2025-Dec-06 01:44 |
| net-predictable-1.5.1-r5.apk | 943.4 KiB | 2025-Dec-06 01:44 |
| neofetch-doc-7.1.0-r2.apk | 6.2 KiB | 2024-Nov-07 06:46 |
| neofetch-7.1.0-r2.apk | 85.7 KiB | 2024-Nov-07 06:46 |
| neocmakelsp-zsh-completion-0.9.0-r0.apk | 1.8 KiB | 2025-Nov-22 22:32 |
| neocmakelsp-fish-completion-0.9.0-r0.apk | 1.6 KiB | 2025-Nov-22 22:32 |
| neocmakelsp-doc-0.9.0-r0.apk | 5.8 KiB | 2025-Nov-22 22:32 |
| neocmakelsp-bash-completion-0.9.0-r0.apk | 2.0 KiB | 2025-Nov-22 22:32 |
| neocmakelsp-0.9.0-r0.apk | 1.6 MiB | 2025-Nov-22 22:32 |
| nemo-gtkhash-plugin-1.5-r0.apk | 23.1 KiB | 2024-Oct-26 05:47 |
| neko-doc-2.3.0-r0.apk | 19.9 KiB | 2024-Nov-20 16:29 |
| neko-dev-2.3.0-r0.apk | 10.4 KiB | 2024-Nov-20 16:29 |
| neko-2.3.0-r0.apk | 458.4 KiB | 2024-Nov-20 16:29 |
| neard-openrc-0.19-r0.apk | 1.7 KiB | 2024-Oct-26 05:47 |
| neard-doc-0.19-r0.apk | 5.6 KiB | 2024-Oct-26 05:47 |
| neard-dev-0.19-r0.apk | 11.1 KiB | 2024-Oct-26 05:47 |
| neard-0.19-r0.apk | 138.5 KiB | 2024-Oct-26 05:47 |
| ndpi-dev-4.10-r0.apk | 1.5 MiB | 2024-Oct-26 05:47 |
| ndpi-4.10-r0.apk | 1.6 MiB | 2024-Oct-26 05:47 |
| nbsdgames-doc-5-r0.apk | 9.6 KiB | 2024-Oct-26 05:47 |
| nbsdgames-5-r0.apk | 101.0 KiB | 2024-Oct-26 05:47 |
| nb-zsh-completion-7.19.1-r0.apk | 3.0 KiB | 2025-May-25 07:10 |
| nb-full-7.19.1-r0.apk | 1.3 KiB | 2025-May-25 07:10 |
| nb-fish-completion-7.19.1-r0.apk | 2.8 KiB | 2025-May-25 07:10 |
| nb-doc-7.19.1-r0.apk | 77.5 KiB | 2025-May-25 07:10 |
| nb-bash-completion-7.19.1-r0.apk | 3.0 KiB | 2025-May-25 07:10 |
| nb-7.19.1-r0.apk | 152.1 KiB | 2025-May-25 07:10 |
| nauty-libs-2.9.1-r0.apk | 2.9 MiB | 2025-Sep-09 01:48 |
| nauty-dev-2.9.1-r0.apk | 6.7 MiB | 2025-Sep-09 01:48 |
| nauty-2.9.1-r0.apk | 5.9 MiB | 2025-Sep-09 01:48 |
| nautilus-python-doc-4.0.1-r0.apk | 4.2 KiB | 2025-Oct-13 06:54 |
| nautilus-python-dev-4.0.1-r0.apk | 1.7 KiB | 2025-Oct-13 06:54 |
| nautilus-python-4.0.1-r0.apk | 9.9 KiB | 2025-Oct-13 06:54 |
| nano-hare-0_git20231021-r0.apk | 2.2 KiB | 2024-Oct-26 05:47 |
| n30f-2.0-r3.apk | 6.9 KiB | 2024-Oct-26 05:47 |
| mxclient-0_git20211002-r1.apk | 11.9 KiB | 2024-Oct-26 05:47 |
| musikcube-plugin-taglibreader-3.0.5-r0.apk | 36.5 KiB | 2025-Sep-28 06:16 |
| musikcube-plugin-supereqdsp-3.0.5-r0.apk | 25.8 KiB | 2025-Sep-28 06:16 |
| musikcube-plugin-stockencoders-3.0.5-r0.apk | 20.5 KiB | 2025-Sep-28 06:16 |
| musikcube-plugin-server-3.0.5-r0.apk | 385.4 KiB | 2025-Sep-28 06:16 |
| musikcube-plugin-openmpt-3.0.5-r0.apk | 30.0 KiB | 2025-Sep-28 06:16 |
| musikcube-plugin-mpris-3.0.5-r0.apk | 20.6 KiB | 2025-Sep-28 06:16 |
| musikcube-plugin-httpdatastream-3.0.5-r0.apk | 78.3 KiB | 2025-Sep-28 06:16 |
| musikcube-plugin-all-3.0.5-r0.apk | 1.3 KiB | 2025-Sep-28 06:16 |
| musikcube-dev-3.0.5-r0.apk | 19.1 KiB | 2025-Sep-28 06:16 |
| musikcube-3.0.5-r0.apk | 2.2 MiB | 2025-Sep-28 06:16 |
| muse-doc-4.2.1-r2.apk | 4.1 MiB | 2025-May-12 21:03 |
| muse-4.2.1-r2.apk | 6.2 MiB | 2025-May-12 21:03 |
| mtg-openrc-2.1.7-r26.apk | 1.9 KiB | 2025-Dec-06 01:44 |
| mtg-2.1.7-r26.apk | 4.4 MiB | 2025-Dec-06 01:44 |
| mtail-openrc-3.2.26-r1.apk | 2.0 KiB | 2025-Dec-06 01:44 |
| mtail-3.2.26-r1.apk | 13.3 MiB | 2025-Dec-06 01:44 |
| mspdebug-doc-0.25-r1.apk | 14.2 KiB | 2024-Oct-26 05:47 |
| mspdebug-0.25-r1.apk | 212.1 KiB | 2024-Oct-26 05:47 |
| msh-openrc-2.5.0-r17.apk | 2.0 KiB | 2025-Dec-06 01:44 |
| msh-2.5.0-r17.apk | 2.9 MiB | 2025-Dec-06 01:44 |
| msgpuck-doc-2.0-r1.apk | 7.6 KiB | 2024-Oct-26 05:47 |
| msgpuck-dev-2.0-r1.apk | 32.7 KiB | 2024-Oct-26 05:47 |
| msgpuck-2.0-r1.apk | 1.4 KiB | 2024-Oct-26 05:47 |
| mrsh-libs-0_git20210518-r1.apk | 59.9 KiB | 2024-Oct-26 05:47 |
| mrsh-dev-0_git20210518-r1.apk | 10.0 KiB | 2024-Oct-26 05:47 |
| mrsh-dbg-0_git20210518-r1.apk | 208.9 KiB | 2024-Oct-26 05:47 |
| mrsh-0_git20210518-r1.apk | 5.3 KiB | 2024-Oct-26 05:47 |
| mqtt2prometheus-0.1.7-r21.apk | 4.4 MiB | 2025-Dec-06 01:44 |
| mpv-sponsorblock-2.2.0-r0.apk | 1.3 MiB | 2025-Jun-17 00:17 |
| mpdris2-lang-0.9.1-r3.apk | 2.3 KiB | 2024-Oct-26 05:47 |
| mpdris2-doc-0.9.1-r3.apk | 14.8 KiB | 2024-Oct-26 05:47 |
| mpdris2-0.9.1-r3.apk | 15.1 KiB | 2024-Oct-26 05:47 |
| mpdcron-zsh-completion-0.3-r1.apk | 2.9 KiB | 2024-Oct-26 05:47 |
| mpdcron-doc-0.3-r1.apk | 13.3 KiB | 2024-Oct-26 05:47 |
| mpdcron-dev-0.3-r1.apk | 95.3 KiB | 2024-Oct-26 05:47 |
| mpdcron-0.3-r1.apk | 95.6 KiB | 2024-Oct-26 05:47 |
| mp3val-0.1.8-r1.apk | 15.5 KiB | 2024-Oct-26 05:47 |
| motion-openrc-4.7.1-r0.apk | 2.2 KiB | 2025-Sep-28 06:16 |
| motion-lang-4.7.1-r0.apk | 470.8 KiB | 2025-Sep-28 06:16 |
| motion-doc-4.7.1-r0.apk | 139.6 KiB | 2025-Sep-28 06:16 |
| motion-4.7.1-r0.apk | 137.7 KiB | 2025-Sep-28 06:16 |
| moosefs-static-4.56.6-r2.apk | 1.8 MiB | 2025-Jun-19 18:15 |
| moosefs-metalogger-openrc-4.56.6-r2.apk | 1.7 KiB | 2025-Jun-19 18:15 |
| moosefs-metalogger-4.56.6-r2.apk | 41.1 KiB | 2025-Jun-19 18:15 |
| moosefs-master-openrc-4.56.6-r2.apk | 1.7 KiB | 2025-Jun-19 18:15 |
| moosefs-master-4.56.6-r2.apk | 373.7 KiB | 2025-Jun-19 18:15 |
| moosefs-doc-4.56.6-r2.apk | 95.2 KiB | 2025-Jun-19 18:15 |
| moosefs-client-4.56.6-r2.apk | 766.9 KiB | 2025-Jun-19 18:15 |
| moosefs-chunkserver-openrc-4.56.6-r2.apk | 1.7 KiB | 2025-Jun-19 18:15 |
| moosefs-chunkserver-4.56.6-r2.apk | 199.4 KiB | 2025-Jun-19 18:15 |
| moosefs-cgiserv-openrc-4.56.6-r2.apk | 2.0 KiB | 2025-Jun-19 18:15 |
| moosefs-cgiserv-4.56.6-r2.apk | 7.8 KiB | 2025-Jun-19 18:15 |
| moosefs-cgi-4.56.6-r2.apk | 121.2 KiB | 2025-Jun-19 18:15 |
| moosefs-4.56.6-r2.apk | 272.7 KiB | 2025-Jun-19 18:15 |
| moon-buggy-doc-1.0.51-r1.apk | 7.1 KiB | 2024-Oct-26 05:47 |
| moon-buggy-1.0.51-r1.apk | 35.9 KiB | 2024-Oct-26 05:47 |
| monopd-openrc-0.10.4-r0.apk | 1.7 KiB | 2025-Jan-11 20:15 |
| monopd-0.10.4-r0.apk | 90.0 KiB | 2025-Jan-11 20:15 |
| mongo-cxx-driver-dev-3.8.0-r0.apk | 88.9 KiB | 2024-Oct-26 05:47 |
| mongo-cxx-driver-3.8.0-r0.apk | 179.2 KiB | 2024-Oct-26 05:47 |
| monetdb-doc-11.33.11-r4.apk | 320.9 KiB | 2024-Oct-26 05:47 |
| monetdb-dev-11.33.11-r4.apk | 77.1 KiB | 2024-Oct-26 05:47 |
| monetdb-11.33.11-r4.apk | 2.3 MiB | 2024-Oct-26 05:47 |
| moka-icon-theme-5.4.0-r2.apk | 113.7 MiB | 2024-Oct-26 05:47 |
| moe-doc-1.14-r0.apk | 19.0 KiB | 2024-Oct-26 05:46 |
| moe-1.14-r0.apk | 107.2 KiB | 2024-Oct-26 05:46 |
| mods-doc-1.8.1-r3.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| mods-1.8.1-r3.apk | 10.1 MiB | 2025-Dec-06 01:44 |
| modem-manager-gui-lang-0.0.20-r0.apk | 129.5 KiB | 2024-Oct-26 05:46 |
| modem-manager-gui-doc-0.0.20-r0.apk | 3.9 MiB | 2024-Oct-26 05:46 |
| modem-manager-gui-0.0.20-r0.apk | 332.5 KiB | 2024-Oct-26 05:46 |
| mod_dnssd-0.6-r1.apk | 8.8 KiB | 2025-Aug-09 12:11 |
| mobroute-doc-0.10.0-r5.apk | 1.3 MiB | 2025-Dec-06 01:44 |
| mobroute-0.10.0-r5.apk | 4.5 MiB | 2025-Dec-06 01:44 |
| mobpass-pyc-0.2-r6.apk | 5.2 KiB | 2024-Oct-26 05:46 |
| mobpass-0.2-r6.apk | 18.0 KiB | 2024-Oct-26 05:46 |
| mnamer-pyc-2.5.5-r1.apk | 59.9 KiB | 2024-Nov-18 17:46 |
| mnamer-2.5.5-r1.apk | 30.8 KiB | 2024-Nov-18 17:46 |
| mmar-0.2.5-r1.apk | 2.5 MiB | 2025-May-12 18:19 |
| mm-doc-1.4.2-r1.apk | 14.5 KiB | 2024-Oct-26 05:46 |
| mm-dev-1.4.2-r1.apk | 16.0 KiB | 2024-Oct-26 05:46 |
| mm-common-doc-1.0.7-r0.apk | 33.5 KiB | 2025-Jun-28 01:39 |
| mm-common-1.0.7-r0.apk | 414.2 KiB | 2025-Jun-28 01:39 |
| mm-1.4.2-r1.apk | 8.0 KiB | 2024-Oct-26 05:46 |
| mlxl-0.1-r0.apk | 5.8 KiB | 2024-Oct-26 05:46 |
| mlir-next-static-22.0.0_pre20251226-r0.apk | 63.2 MiB | 2025-Dec-27 20:04 |
| mlir-next-libs-22.0.0_pre20251226-r0.apk | 20.1 MiB | 2025-Dec-27 20:04 |
| mlir-next-dev-22.0.0_pre20251226-r0.apk | 7.6 MiB | 2025-Dec-27 20:04 |
| mlir-next-22.0.0_pre20251226-r0.apk | 19.9 MiB | 2025-Dec-27 20:04 |
| mktorrent-borg-doc-0.9.9-r1.apk | 2.5 KiB | 2024-Oct-26 05:46 |
| mktorrent-borg-0.9.9-r1.apk | 9.5 KiB | 2024-Oct-26 05:46 |
| mkosi-sandbox-26-r1.apk | 13.6 KiB | 2025-Dec-28 02:17 |
| mkosi-pyc-26-r1.apk | 438.5 KiB | 2025-Dec-28 02:17 |
| mkosi-doc-26-r1.apk | 75.0 KiB | 2025-Dec-28 02:17 |
| mkosi-26-r1.apk | 283.1 KiB | 2025-Dec-28 02:17 |
| mkg3a-doc-0.5.0-r1.apk | 3.0 KiB | 2024-Oct-26 05:46 |
| mkg3a-0.5.0-r1.apk | 14.2 KiB | 2024-Oct-26 05:46 |
| mkdotenv-0.4.9-r2.apk | 927.1 KiB | 2025-Dec-06 01:44 |
| mkdocs-windmill-pyc-1.0.5-r4.apk | 1.8 KiB | 2024-Oct-26 05:46 |
| mkdocs-windmill-1.0.5-r4.apk | 944.1 KiB | 2024-Oct-26 05:46 |
| mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1.8 KiB | 2024-Oct-26 05:46 |
| mkdocs-rtd-dropdown-1.0.2-r5.apk | 248.4 KiB | 2024-Oct-26 05:46 |
| mkdocs-ivory-pyc-0.4.6-r5.apk | 1.8 KiB | 2024-Oct-26 05:46 |
| mkdocs-ivory-0.4.6-r5.apk | 10.9 KiB | 2024-Oct-26 05:46 |
| mkdocs-gitbook-pyc-0.0.1-r5.apk | 1.8 KiB | 2024-Oct-26 05:46 |
| mkdocs-gitbook-0.0.1-r5.apk | 644.1 KiB | 2024-Oct-26 05:46 |
| mkdocs-cluster-pyc-0.0.9-r5.apk | 1.8 KiB | 2024-Oct-26 05:46 |
| mkdocs-cluster-0.0.9-r5.apk | 651.1 KiB | 2024-Oct-26 05:46 |
| mkdocs-cinder-pyc-1.2.0-r5.apk | 1.8 KiB | 2024-Oct-26 05:46 |
| mkdocs-cinder-1.2.0-r5.apk | 248.6 KiB | 2024-Oct-26 05:46 |
| mkdocs-bootswatch-pyc-1.1-r5.apk | 4.7 KiB | 2024-Oct-26 05:46 |
| mkdocs-bootswatch-1.1-r5.apk | 538.4 KiB | 2024-Oct-26 05:46 |
| mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1.8 KiB | 2024-Oct-26 05:46 |
| mkdocs-bootstrap4-0.1.5-r5.apk | 260.1 KiB | 2024-Oct-26 05:46 |
| mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1.8 KiB | 2024-Oct-26 05:46 |
| mkdocs-bootstrap386-0.0.2-r5.apk | 791.3 KiB | 2024-Oct-26 05:46 |
| mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1.8 KiB | 2024-Oct-26 05:46 |
| mkdocs-bootstrap-1.1.1-r2.apk | 28.5 KiB | 2024-Oct-26 05:46 |
| mkcert-1.4.4-r24.apk | 1.8 MiB | 2025-Dec-06 01:44 |
| mkbrr-1.18.0-r1.apk | 4.0 MiB | 2025-Dec-06 01:44 |
| mjpg-streamer-0_git20210220-r2.apk | 195.5 KiB | 2025-May-15 00:03 |
| mirrorhall-0.1.1-r2.apk | 26.3 KiB | 2025-Aug-09 12:11 |
| miracle-wm-dev-0.8.2-r1.apk | 15.5 KiB | 2025-Dec-18 23:34 |
| miracle-wm-0.8.2-r1.apk | 461.2 KiB | 2025-Dec-18 23:34 |
| mir-test-tools-2.25.2-r0.apk | 1.2 MiB | 2025-Dec-18 23:34 |
| mir-dev-2.25.2-r0.apk | 13.5 MiB | 2025-Dec-18 23:34 |
| mir-demos-2.25.2-r0.apk | 147.4 KiB | 2025-Dec-18 23:34 |
| mir-2.25.2-r0.apk | 2.4 MiB | 2025-Dec-18 23:34 |
| mint-y-theme-xfwm4-2.3.2-r0.apk | 203.4 KiB | 2025-Nov-15 11:10 |
| mint-y-theme-metacity-2.3.2-r0.apk | 54.9 KiB | 2025-Nov-15 11:10 |
| mint-y-theme-gtk4-2.3.2-r0.apk | 1.7 MiB | 2025-Nov-15 11:10 |
| mint-y-theme-gtk3-2.3.2-r0.apk | 2.0 MiB | 2025-Nov-15 11:10 |
| mint-y-theme-gtk2-2.3.2-r0.apk | 594.8 KiB | 2025-Nov-15 11:10 |
| mint-y-theme-2.3.2-r0.apk | 3.5 KiB | 2025-Nov-15 11:10 |
| mint-y-icons-doc-1.8.9-r0.apk | 11.9 KiB | 2025-Dec-01 15:04 |
| mint-y-icons-1.8.9-r0.apk | 73.0 MiB | 2025-Dec-01 15:04 |
| mint-x-theme-xfwm4-2.3.2-r0.apk | 31.3 KiB | 2025-Nov-15 11:10 |
| mint-x-theme-metacity-2.3.2-r0.apk | 6.0 KiB | 2025-Nov-15 11:10 |
| mint-x-theme-gtk4-2.3.2-r0.apk | 503.1 KiB | 2025-Nov-15 11:10 |
| mint-x-theme-gtk3-2.3.2-r0.apk | 597.3 KiB | 2025-Nov-15 11:10 |
| mint-x-theme-gtk2-2.3.2-r0.apk | 452.7 KiB | 2025-Nov-15 11:10 |
| mint-x-theme-2.3.2-r0.apk | 2.8 KiB | 2025-Nov-15 11:10 |
| mint-x-icons-doc-1.7.5-r0.apk | 7.9 KiB | 2025-Dec-01 15:04 |
| mint-x-icons-1.7.5-r0.apk | 23.2 MiB | 2025-Dec-01 15:04 |
| mint-themes-doc-2.3.2-r0.apk | 14.3 KiB | 2025-Nov-15 11:10 |
| mint-themes-2.3.2-r0.apk | 1.8 MiB | 2025-Nov-15 11:10 |
| minisatip-openrc-1.3.4-r0.apk | 1.9 KiB | 2024-Oct-26 05:46 |
| minisatip-1.3.4-r0.apk | 315.9 KiB | 2024-Oct-26 05:46 |
| minimodem-doc-0.24-r1.apk | 5.2 KiB | 2024-Oct-26 05:46 |
| minimodem-0.24-r1.apk | 20.6 KiB | 2024-Oct-26 05:46 |
| minigalaxy-pyc-1.4.0-r0.apk | 134.6 KiB | 2025-Jul-16 06:02 |
| minigalaxy-1.4.0-r0.apk | 197.3 KiB | 2025-Jul-16 06:02 |
| minidyndns-openrc-1.3.0-r3.apk | 2.1 KiB | 2024-Oct-26 05:46 |
| minidyndns-doc-1.3.0-r3.apk | 5.3 KiB | 2024-Oct-26 05:46 |
| minidyndns-1.3.0-r3.apk | 11.9 KiB | 2024-Oct-26 05:46 |
| mimeo-pyc-2023-r2.apk | 41.3 KiB | 2024-Oct-26 05:46 |
| mimeo-2023-r2.apk | 28.1 KiB | 2024-Oct-26 05:46 |
| mimedefang-doc-3.6-r1.apk | 81.0 KiB | 2025-Oct-06 10:16 |
| mimedefang-3.6-r1.apk | 157.8 KiB | 2025-Oct-06 10:16 |
| mimalloc1-insecure-1.9.4-r0.apk | 75.1 KiB | 2025-Nov-05 23:30 |
| mimalloc1-dev-1.9.4-r0.apk | 989.1 KiB | 2025-Nov-05 23:30 |
| mimalloc1-debug-1.9.4-r0.apk | 205.2 KiB | 2025-Nov-05 23:30 |
| mimalloc1-1.9.4-r0.apk | 80.5 KiB | 2025-Nov-05 23:30 |
| milkytracker-doc-1.04.00-r2.apk | 50.4 KiB | 2024-Oct-26 05:46 |
| milkytracker-1.04.00-r2.apk | 1020.0 KiB | 2024-Oct-26 05:46 |
| microsocks-openrc-1.0.5-r0.apk | 1.8 KiB | 2025-Dec-22 08:53 |
| microsocks-doc-1.0.5-r0.apk | 3.9 KiB | 2025-Dec-22 08:53 |
| microsocks-1.0.5-r0.apk | 8.5 KiB | 2025-Dec-22 08:53 |
| metricbeat-openrc-9.2.0-r1.apk | 2.0 KiB | 2025-Dec-06 01:44 |
| metricbeat-9.2.0-r1.apk | 46.3 MiB | 2025-Dec-06 01:44 |
| metalang99-1.13.3-r0.apk | 54.3 KiB | 2024-Oct-26 05:46 |
| metadata-cleaner-lang-2.5.6-r0.apk | 65.9 KiB | 2025-Jan-31 23:32 |
| metadata-cleaner-doc-2.5.6-r0.apk | 1.9 MiB | 2025-Jan-31 23:32 |
| metadata-cleaner-2.5.6-r0.apk | 49.1 KiB | 2025-Jan-31 23:32 |
| mesonlsp-4.3.7-r4.apk | 2.1 MiB | 2025-Jun-19 14:37 |
| mergerfs-doc-2.41.1-r0.apk | 3.3 KiB | 2025-Dec-14 00:12 |
| mergerfs-2.41.1-r0.apk | 412.5 KiB | 2025-Dec-14 00:12 |
| menumaker-0.99.14-r1.apk | 111.0 KiB | 2024-Oct-26 05:46 |
| memdump-doc-1.01-r1.apk | 3.1 KiB | 2024-Oct-26 05:46 |
| memdump-1.01-r1.apk | 5.5 KiB | 2024-Oct-26 05:46 |
| melange-zsh-completion-0.37.0-r0.apk | 4.0 KiB | 2025-Dec-17 03:42 |
| melange-fish-completion-0.37.0-r0.apk | 4.3 KiB | 2025-Dec-17 03:42 |
| melange-bash-completion-0.37.0-r0.apk | 6.6 KiB | 2025-Dec-17 03:42 |
| melange-0.37.0-r0.apk | 11.8 MiB | 2025-Dec-17 03:42 |
| megazeux-doc-2.93d-r0.apk | 465.3 KiB | 2025-Jun-10 22:46 |
| megazeux-2.93d-r0.apk | 1.5 MiB | 2025-Jun-10 22:46 |
| megatools-doc-1.11.5.20250706-r0.apk | 52.1 KiB | 2025-Jul-31 00:36 |
| megatools-bash-completion-1.11.5.20250706-r0.apk | 4.1 KiB | 2025-Jul-31 00:36 |
| megatools-1.11.5.20250706-r0.apk | 63.5 KiB | 2025-Jul-31 00:36 |
| meep-dev-1.31.0-r1.apk | 739.2 KiB | 2025-Aug-19 22:16 |
| meep-1.31.0-r1.apk | 647.7 KiB | 2025-Aug-19 22:16 |
| mediastreamer2-plugin-x264-20200722-r6.apk | 9.5 KiB | 2024-Oct-26 05:46 |
| mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk | 12.2 KiB | 2025-Mar-07 08:24 |
| mediastreamer2-doc-5.3.112-r1.apk | 107.7 KiB | 2025-Oct-19 19:15 |
| mediastreamer2-dev-5.3.112-r1.apk | 109.7 KiB | 2025-Oct-19 19:15 |
| mediastreamer2-5.3.112-r1.apk | 370.5 KiB | 2025-Oct-19 19:15 |
| mediascanner2-systemd-0.118-r4.apk | 1.8 KiB | 2025-Nov-17 22:28 |
| mediascanner2-0.118-r4.apk | 270.2 KiB | 2025-Nov-17 22:28 |
| mdp-doc-1.0.18-r0.apk | 3.9 KiB | 2025-Jul-16 06:02 |
| mdp-1.0.18-r0.apk | 16.8 KiB | 2025-Jul-16 06:02 |
| mdnsd-static-0.12-r1.apk | 30.1 KiB | 2024-Oct-26 05:46 |
| mdnsd-openrc-0.12-r1.apk | 2.1 KiB | 2024-Oct-26 05:46 |
| mdnsd-libs-0.12-r1.apk | 18.1 KiB | 2024-Oct-26 05:46 |
| mdnsd-doc-0.12-r1.apk | 14.3 KiB | 2024-Oct-26 05:46 |
| mdnsd-0.12-r1.apk | 23.1 KiB | 2024-Oct-26 05:46 |
| mdbook-plantuml-0.8.0-r0.apk | 963.8 KiB | 2024-Oct-26 05:46 |
| mdbook-katex-0.9.4-r0.apk | 1.1 MiB | 2025-May-17 23:38 |
| mdbook-alerts-0.8.0-r0.apk | 703.4 KiB | 2025-Sep-26 06:51 |
| mdbook-admonish-1.20.0-r0.apk | 1015.7 KiB | 2025-Jun-17 11:33 |
| md5ha1-0_git20171202-r1.apk | 9.1 KiB | 2024-Oct-26 05:46 |
| mcjoin-doc-2.11-r0.apk | 53.7 KiB | 2024-Oct-26 05:46 |
| mcjoin-2.11-r0.apk | 24.0 KiB | 2024-Oct-26 05:46 |
| mbrola-3.3-r0.apk | 22.2 KiB | 2024-Oct-26 05:46 |
| maxima-emacs-5.48.1-r9.apk | 110.8 KiB | 2025-Aug-22 19:22 |
| maxima-doc-extra-5.48.1-r9.apk | 9.6 MiB | 2025-Aug-22 19:22 |
| maxima-doc-5.48.1-r9.apk | 845.7 KiB | 2025-Aug-22 19:22 |
| maxima-bash-completion-5.48.1-r9.apk | 2.3 KiB | 2025-Aug-22 19:22 |
| maxima-5.48.1-r9.apk | 24.8 MiB | 2025-Aug-22 19:22 |
| mautrix-zulip-openrc-0.2511.0-r1.apk | 2.0 KiB | 2025-Dec-06 01:44 |
| mautrix-zulip-doc-0.2511.0-r1.apk | 13.2 KiB | 2025-Dec-06 01:44 |
| mautrix-zulip-0.2511.0-r1.apk | 6.3 MiB | 2025-Dec-06 01:44 |
| mautrix-linkedin-openrc-0.2512.0-r0.apk | 2.0 KiB | 2025-Dec-17 03:46 |
| mautrix-linkedin-doc-0.2512.0-r0.apk | 13.2 KiB | 2025-Dec-17 03:46 |
| mautrix-linkedin-0.2512.0-r0.apk | 6.2 MiB | 2025-Dec-17 03:46 |
| materia-gtk4-20210322-r3.apk | 42.7 KiB | 2025-Jul-16 06:02 |
| materia-gtk3-20210322-r3.apk | 63.0 KiB | 2025-Jul-16 06:02 |
| materia-gtk2-20210322-r3.apk | 34.6 KiB | 2025-Jul-16 06:02 |
| materia-gtk-theme-20210322-r3.apk | 2.9 KiB | 2025-Jul-16 06:02 |
| materia-gnome-shell-20210322-r3.apk | 29.2 KiB | 2025-Jul-16 06:02 |
| materia-dark-gtk4-20210322-r3.apk | 29.4 KiB | 2025-Jul-16 06:02 |
| materia-dark-gtk3-20210322-r3.apk | 39.6 KiB | 2025-Jul-16 06:02 |
| materia-dark-gtk2-20210322-r3.apk | 34.5 KiB | 2025-Jul-16 06:02 |
| materia-dark-gnome-shell-20210322-r3.apk | 29.2 KiB | 2025-Jul-16 06:02 |
| materia-dark-compact-gtk4-20210322-r3.apk | 29.4 KiB | 2025-Jul-16 06:02 |
| materia-dark-compact-gtk3-20210322-r3.apk | 39.6 KiB | 2025-Jul-16 06:02 |
| materia-dark-compact-gtk2-20210322-r3.apk | 34.5 KiB | 2025-Jul-16 06:02 |
| materia-dark-compact-gnome-shell-20210322-r3.apk | 29.3 KiB | 2025-Jul-16 06:02 |
| materia-dark-compact-chromium-20210322-r3.apk | 5.7 KiB | 2025-Jul-16 06:02 |
| materia-dark-compact-20210322-r3.apk | 1.7 KiB | 2025-Jul-16 06:02 |
| materia-dark-chromium-20210322-r3.apk | 5.7 KiB | 2025-Jul-16 06:02 |
| materia-dark-20210322-r3.apk | 1.7 KiB | 2025-Jul-16 06:02 |
| materia-compact-gtk4-20210322-r3.apk | 42.6 KiB | 2025-Jul-16 06:02 |
| materia-compact-gtk3-20210322-r3.apk | 62.9 KiB | 2025-Jul-16 06:02 |
| materia-compact-gtk2-20210322-r3.apk | 34.6 KiB | 2025-Jul-16 06:02 |
| materia-compact-gnome-shell-20210322-r3.apk | 29.3 KiB | 2025-Jul-16 06:02 |
| materia-compact-chromium-20210322-r3.apk | 5.7 KiB | 2025-Jul-16 06:02 |
| materia-compact-20210322-r3.apk | 1.7 KiB | 2025-Jul-16 06:02 |
| materia-chromium-20210322-r3.apk | 5.7 KiB | 2025-Jul-16 06:02 |
| materia-20210322-r3.apk | 1.7 KiB | 2025-Jul-16 06:02 |
| mat2-pyc-0.13.5-r0.apk | 54.5 KiB | 2025-Sep-17 04:00 |
| mat2-doc-0.13.5-r0.apk | 7.7 KiB | 2025-Sep-17 04:00 |
| mat2-0.13.5-r0.apk | 35.4 KiB | 2025-Sep-17 04:00 |
| masky-pyc-0.2.0-r2.apk | 63.7 KiB | 2025-May-29 21:04 |
| masky-0.2.0-r2.apk | 276.9 KiB | 2025-May-29 21:04 |
| marxan-4.0.7-r1.apk | 656.0 KiB | 2024-Oct-26 05:46 |
| mapserver-dev-8.4.1-r1.apk | 539.9 KiB | 2025-Dec-06 01:44 |
| mapserver-8.4.1-r1.apk | 1.3 MiB | 2025-Dec-06 01:44 |
| mapnik-doc-4.1.4-r0.apk | 143.0 KiB | 2025-Dec-06 01:44 |
| mapnik-dev-4.1.4-r0.apk | 486.8 KiB | 2025-Dec-06 01:44 |
| mapnik-4.1.4-r0.apk | 13.0 MiB | 2025-Dec-06 01:44 |
| manifest-tool-2.2.0-r6.apk | 3.9 MiB | 2025-Dec-06 01:44 |
| mangr0ve-doc-0.1.2-r0.apk | 14.4 KiB | 2024-Oct-26 05:46 |
| mangr0ve-0.1.2-r0.apk | 2.8 KiB | 2024-Oct-26 05:46 |
| mangowc-0.10.8-r0.apk | 115.6 KiB | 2025-Dec-21 08:32 |
| mangal-zsh-completion-4.0.6-r23.apk | 4.0 KiB | 2025-Dec-06 01:44 |
| mangal-fish-completion-4.0.6-r23.apk | 3.9 KiB | 2025-Dec-06 01:44 |
| mangal-bash-completion-4.0.6-r23.apk | 5.0 KiB | 2025-Dec-06 01:44 |
| mangal-4.0.6-r23.apk | 10.1 MiB | 2025-Dec-06 01:44 |
| malcontent-doc-0.13.1-r0.apk | 44.7 KiB | 2025-Sep-04 08:49 |
| malcontent-dev-0.13.1-r0.apk | 24.0 KiB | 2025-Sep-04 08:49 |
| malcontent-0.13.1-r0.apk | 159.1 KiB | 2025-Sep-04 08:49 |
| makeself-2.5.0-r0.apk | 13.0 KiB | 2024-Oct-26 05:46 |
| makedumpfile-openrc-1.7.8-r0.apk | 3.1 KiB | 2025-Nov-05 23:28 |
| makedumpfile-doc-1.7.8-r0.apk | 23.7 KiB | 2025-Nov-05 23:28 |
| makedumpfile-1.7.8-r0.apk | 158.8 KiB | 2025-Nov-05 23:28 |
| makeclapman-doc-2.4.4-r10.apk | 4.2 KiB | 2025-Dec-06 01:44 |
| makeclapman-2.4.4-r10.apk | 1.3 MiB | 2025-Dec-06 01:44 |
| mailsec-check-0_git20210729-r31.apk | 2.6 MiB | 2025-Dec-06 01:44 |
| maildir2rss-0.0.7-r10.apk | 3.6 MiB | 2025-Dec-06 01:44 |
| maildir-rank-addr-doc-1.4.1-r1.apk | 2.3 KiB | 2025-Dec-06 01:44 |
| maildir-rank-addr-1.4.1-r1.apk | 3.3 MiB | 2025-Dec-06 01:44 |
| magpie-lang-0.9.4-r0.apk | 849.5 KiB | 2025-Oct-17 02:22 |
| magpie-dev-0.9.4-r0.apk | 246.3 KiB | 2025-Oct-17 02:22 |
| magpie-0.9.4-r0.apk | 1.8 MiB | 2025-Oct-17 02:22 |
| mage-1.13.0-r23.apk | 1.5 MiB | 2025-May-12 18:19 |
| macchina-doc-6.4.0-r0.apk | 5.7 KiB | 2025-Jun-25 12:46 |
| macchina-6.4.0-r0.apk | 977.8 KiB | 2025-Jun-25 12:46 |
| m2r2-pyc-0.3.3-r3.apk | 15.6 KiB | 2024-Oct-26 05:46 |
| m2r2-0.3.3-r3.apk | 12.5 KiB | 2024-Oct-26 05:46 |
| lyrics-in-terminal-1.7.0-r0.apk | 38.1 KiB | 2025-Jan-04 00:52 |
| lynis-doc-3.1.4-r0.apk | 50.2 KiB | 2025-Jul-29 17:22 |
| lynis-bash-completion-3.1.4-r0.apk | 3.0 KiB | 2025-Jul-29 17:22 |
| lynis-3.1.4-r0.apk | 275.7 KiB | 2025-Jul-29 17:22 |
| lxqt-wayland-session-doc-0.3.0-r0.apk | 29.0 KiB | 2025-Nov-20 20:23 |
| lxqt-wayland-session-0.3.0-r0.apk | 339.0 KiB | 2025-Nov-20 20:23 |
| lxappearance-lang-0.6.3-r3.apk | 80.5 KiB | 2024-Oct-26 05:46 |
| lxappearance-doc-0.6.3-r3.apk | 2.6 KiB | 2024-Oct-26 05:46 |
| lxappearance-dev-0.6.3-r3.apk | 3.2 KiB | 2024-Oct-26 05:46 |
| lxappearance-0.6.3-r3.apk | 29.1 KiB | 2024-Oct-26 05:46 |
| lv_font_conv-doc-1.5.3-r0.apk | 5.0 KiB | 2025-Aug-20 04:54 |
| lv_font_conv-1.5.3-r0.apk | 1.1 MiB | 2025-Aug-20 04:54 |
| lutris-pyc-0.5.19-r1.apk | 1.1 MiB | 2025-Sep-17 03:09 |
| lutris-lang-0.5.19-r1.apk | 810.5 KiB | 2025-Sep-17 03:09 |
| lutris-doc-0.5.19-r1.apk | 2.3 KiB | 2025-Sep-17 03:09 |
| lutris-0.5.19-r1.apk | 819.2 KiB | 2025-Sep-17 03:09 |
| lutgen-zsh-completion-1.0.1-r0.apk | 1.7 KiB | 2025-Nov-20 03:48 |
| lutgen-fish-completion-1.0.1-r0.apk | 1.8 KiB | 2025-Nov-20 03:48 |
| lutgen-doc-1.0.1-r0.apk | 4.5 KiB | 2025-Nov-20 03:48 |
| lutgen-bash-completion-1.0.1-r0.apk | 1.7 KiB | 2025-Nov-20 03:48 |
| lutgen-1.0.1-r0.apk | 1.8 MiB | 2025-Nov-20 03:48 |
| lumina-desktop-textedit-1.6.2-r0.apk | 187.2 KiB | 2024-Oct-26 05:46 |
| lumina-desktop-sudo-1.6.2-r0.apk | 93.6 KiB | 2024-Oct-26 05:46 |
| lumina-desktop-screenshot-1.6.2-r0.apk | 162.1 KiB | 2024-Oct-26 05:46 |
| lumina-desktop-photo-1.6.2-r0.apk | 121.3 KiB | 2024-Oct-26 05:46 |
| lumina-desktop-mediaplayer-1.6.2-r0.apk | 194.2 KiB | 2024-Oct-26 05:46 |
| lumina-desktop-fm-1.6.2-r0.apk | 384.2 KiB | 2024-Oct-26 05:46 |
| lumina-desktop-fileinfo-1.6.2-r0.apk | 154.3 KiB | 2024-Oct-26 05:46 |
| lumina-desktop-doc-1.6.2-r0.apk | 11.7 KiB | 2024-Oct-26 05:46 |
| lumina-desktop-coreutils-1.6.2-r0.apk | 802.5 KiB | 2024-Oct-26 05:46 |
| lumina-desktop-core-1.6.2-r0.apk | 8.9 MiB | 2024-Oct-26 05:46 |
| lumina-desktop-archiver-1.6.2-r0.apk | 160.2 KiB | 2024-Oct-26 05:46 |
| lumina-desktop-1.6.2-r0.apk | 1.5 KiB | 2024-Oct-26 05:46 |
| luksmeta-doc-9-r0.apk | 5.7 KiB | 2024-Oct-26 05:46 |
| luksmeta-dev-9-r0.apk | 3.3 KiB | 2024-Oct-26 05:46 |
| luksmeta-9-r0.apk | 13.6 KiB | 2024-Oct-26 05:46 |
| luapak-0.1.0_beta5-r0.apk | 35.5 KiB | 2024-Oct-26 05:46 |
| luacov-html-1.0.0-r1.apk | 1.4 KiB | 2024-Oct-26 05:46 |
| luacov-0.15.0-r0.apk | 1.4 KiB | 2024-Oct-26 05:46 |
| lua5.4-luastatic-0.0.12-r1.apk | 8.8 KiB | 2024-Oct-26 05:46 |
| lua5.4-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-26 05:46 |
| lua5.4-linenoise-0.9-r1.apk | 17.7 KiB | 2024-Oct-26 05:46 |
| lua5.4-editorconfig-0.3.0-r0.apk | 4.5 KiB | 2024-Oct-26 05:46 |
| lua5.3-psl-0.3-r0.apk | 6.4 KiB | 2024-Oct-26 05:46 |
| lua5.3-luastatic-0.0.12-r1.apk | 8.6 KiB | 2024-Oct-26 05:46 |
| lua5.3-luacov-html-1.0.0-r1.apk | 420.7 KiB | 2024-Oct-26 05:46 |
| lua5.3-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-26 05:46 |
| lua5.3-linenoise-0.9-r1.apk | 17.7 KiB | 2024-Oct-26 05:46 |
| lua5.3-editorconfig-0.3.0-r0.apk | 4.6 KiB | 2024-Oct-26 05:46 |
| lua5.2-xml-1.1.3-r2.apk | 23.4 KiB | 2024-Oct-26 05:46 |
| lua5.2-ubus-2025.10.17-r0.apk | 9.7 KiB | 2025-Oct-25 22:01 |
| lua5.2-psl-0.3-r0.apk | 6.4 KiB | 2024-Oct-26 05:46 |
| lua5.2-luastatic-0.0.12-r1.apk | 8.6 KiB | 2024-Oct-26 05:46 |
| lua5.2-luacov-html-1.0.0-r1.apk | 420.7 KiB | 2024-Oct-26 05:46 |
| lua5.2-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-26 05:46 |
| lua5.2-linenoise-0.9-r1.apk | 17.8 KiB | 2024-Oct-26 05:46 |
| lua5.2-libmodbus-0.6.1-r0.apk | 10.8 KiB | 2024-Oct-26 05:46 |
| lua5.2-editorconfig-0.3.0-r0.apk | 4.5 KiB | 2024-Oct-26 05:46 |
| lua5.1-xml-1.1.3-r2.apk | 23.5 KiB | 2024-Oct-26 05:46 |
| lua5.1-ubus-2025.10.17-r0.apk | 9.7 KiB | 2025-Oct-25 22:01 |
| lua5.1-psl-0.3-r0.apk | 6.5 KiB | 2024-Oct-26 05:46 |
| lua5.1-luastatic-0.0.12-r1.apk | 80.4 KiB | 2024-Oct-26 05:46 |
| lua5.1-luacov-html-1.0.0-r1.apk | 420.7 KiB | 2024-Oct-26 05:46 |
| lua5.1-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-26 05:46 |
| lua5.1-linenoise-0.9-r1.apk | 17.8 KiB | 2024-Oct-26 05:46 |
| lua5.1-libmodbus-0.6.1-r0.apk | 10.8 KiB | 2024-Oct-26 05:46 |
| lua5.1-lcurses-9.0.0-r0.apk | 26.9 KiB | 2024-Oct-26 05:46 |
| lua-xml-1.1.3-r2.apk | 1.4 KiB | 2024-Oct-26 05:46 |
| lua-resty-upload-0.11-r0.apk | 3.5 KiB | 2025-Jan-10 22:25 |
| lua-resty-redis-0.29-r0.apk | 5.2 KiB | 2025-Feb-27 15:39 |
| lua-psl-0.3-r0.apk | 1.4 KiB | 2024-Oct-26 05:46 |
| lua-lut-1.2.1-r0.apk | 88.9 KiB | 2024-Oct-26 05:46 |
| lua-lupa-1.0-r0.apk | 19.8 KiB | 2024-Oct-26 05:46 |
| lua-luastatic-0.0.12-r1.apk | 1.4 KiB | 2024-Oct-26 05:46 |
| lua-linenoise-0.9-r1.apk | 1.4 KiB | 2024-Oct-26 05:46 |
| lua-libmodbus-doc-0.6.1-r0.apk | 19.3 KiB | 2024-Oct-26 05:46 |
| lua-libmodbus-0.6.1-r0.apk | 1.4 KiB | 2024-Oct-26 05:46 |
| lua-lcurses-9.0.0-r0.apk | 1.4 KiB | 2024-Oct-26 05:46 |
| lua-inet-0.2.0-r1.apk | 9.1 KiB | 2024-Oct-26 05:46 |
| lua-fn-0.1.0-r0.apk | 3.4 KiB | 2024-Oct-26 05:46 |
| lua-editorconfig-0.3.0-r0.apk | 1.4 KiB | 2024-Oct-26 05:46 |
| lspmux-doc-0.3.0-r0.apk | 6.6 KiB | 2025-Nov-05 23:27 |
| lspmux-0.3.0-r0.apk | 970.1 KiB | 2025-Nov-05 23:27 |
| lsix-1.8.2-r0.apk | 6.5 KiB | 2024-Oct-26 05:46 |
| lshell-pyc-0.9.18-r12.apk | 35.1 KiB | 2025-Sep-05 16:32 |
| lshell-doc-0.9.18-r12.apk | 25.1 KiB | 2025-Sep-05 16:32 |
| lshell-0.9.18-r12.apk | 36.2 KiB | 2025-Sep-05 16:32 |
| lsdvd-doc-0.17-r0.apk | 2.4 KiB | 2024-Oct-26 05:46 |
| lsdvd-0.17-r0.apk | 13.2 KiB | 2024-Oct-26 05:46 |
| lrcalc-libs-2.1-r1.apk | 26.3 KiB | 2024-Oct-26 05:46 |
| lrcalc-dev-2.1-r1.apk | 11.3 KiB | 2024-Oct-26 05:46 |
| lrcalc-2.1-r1.apk | 11.4 KiB | 2024-Oct-26 05:46 |
| lowjs-doc-1.6.2-r2.apk | 2.9 KiB | 2024-Oct-26 05:46 |
| lowjs-1.6.2-r2.apk | 1.3 MiB | 2024-Oct-26 05:46 |
| lout-doc-3.42.2-r0.apk | 452.5 KiB | 2024-Oct-26 05:46 |
| lout-3.42.2-r0.apk | 1.4 MiB | 2024-Oct-26 05:46 |
| lomiri-url-dispatcher-lang-0.1.4-r0.apk | 25.9 KiB | 2025-May-10 02:33 |
| lomiri-url-dispatcher-dev-0.1.4-r0.apk | 3.3 KiB | 2025-May-10 02:33 |
| lomiri-url-dispatcher-0.1.4-r0.apk | 43.5 KiB | 2025-May-10 02:33 |
| lomiri-ui-toolkit-lang-1.3.5110-r5.apk | 96.5 KiB | 2025-Dec-18 23:34 |
| lomiri-ui-toolkit-dev-1.3.5110-r5.apk | 167.8 KiB | 2025-Dec-18 23:34 |
| lomiri-ui-toolkit-1.3.5110-r5.apk | 1.3 MiB | 2025-Dec-18 23:34 |
| lomiri-ui-extras-lang-0.8.0-r0.apk | 52.2 KiB | 2025-Dec-09 20:17 |
| lomiri-ui-extras-0.8.0-r0.apk | 254.8 KiB | 2025-Dec-09 20:17 |
| lomiri-trust-store-lang-2.0.2-r14.apk | 27.7 KiB | 2025-Dec-18 23:34 |
| lomiri-trust-store-dev-2.0.2-r14.apk | 9.1 KiB | 2025-Dec-18 23:34 |
| lomiri-trust-store-2.0.2-r14.apk | 948.6 KiB | 2025-Dec-18 23:34 |
| lomiri-thumbnailer-doc-3.1.0-r0.apk | 123.6 KiB | 2025-Dec-09 20:17 |
| lomiri-thumbnailer-dev-3.1.0-r0.apk | 5.1 KiB | 2025-Dec-09 20:17 |
| lomiri-thumbnailer-3.1.0-r0.apk | 215.4 KiB | 2025-Dec-09 20:17 |
| lomiri-terminal-app-lang-2.0.5-r0.apk | 91.4 KiB | 2025-May-10 02:33 |
| lomiri-terminal-app-doc-2.0.5-r0.apk | 2.7 KiB | 2025-May-10 02:33 |
| lomiri-terminal-app-2.0.5-r0.apk | 63.5 KiB | 2025-May-10 02:33 |
| lomiri-telephony-service-lang-0.6.2-r1.apk | 108.6 KiB | 2025-Dec-19 21:20 |
| lomiri-telephony-service-0.6.2-r1.apk | 969.3 KiB | 2025-Dec-19 21:20 |
| lomiri-system-settings-lang-1.3.2-r0.apk | 846.1 KiB | 2025-May-10 02:33 |
| lomiri-system-settings-1.3.2-r0.apk | 1.1 MiB | 2025-May-10 02:33 |
| lomiri-sounds-25.01-r0.apk | 18.0 MiB | 2025-Aug-20 05:15 |
| lomiri-settings-components-lang-1.1.3-r0.apk | 107.6 KiB | 2025-Oct-26 05:20 |
| lomiri-settings-components-1.1.3-r0.apk | 221.0 KiB | 2025-Oct-26 05:20 |
| lomiri-schemas-0.1.9-r0.apk | 10.4 KiB | 2025-Oct-02 02:03 |
| lomiri-notifications-1.3.1-r0.apk | 95.3 KiB | 2025-Jan-10 19:37 |
| lomiri-location-service-systemd-3.3.0-r5.apk | 2.1 KiB | 2025-Nov-17 22:28 |
| lomiri-location-service-lang-3.3.0-r5.apk | 25.8 KiB | 2025-Nov-17 22:28 |
| lomiri-location-service-doc-3.3.0-r5.apk | 2.9 KiB | 2025-Nov-17 22:28 |
| lomiri-location-service-dev-3.3.0-r5.apk | 29.8 KiB | 2025-Nov-17 22:28 |
| lomiri-location-service-3.3.0-r5.apk | 2.1 MiB | 2025-Nov-17 22:28 |
| lomiri-libusermetrics-lang-1.4.0-r0.apk | 45.1 KiB | 2025-Dec-09 20:17 |
| lomiri-libusermetrics-doc-1.4.0-r0.apk | 227.7 KiB | 2025-Dec-09 20:17 |
| lomiri-libusermetrics-dev-1.4.0-r0.apk | 7.9 KiB | 2025-Dec-09 20:17 |
| lomiri-libusermetrics-1.4.0-r0.apk | 216.5 KiB | 2025-Dec-09 20:17 |
| lomiri-lang-0.5.0-r4.apk | 269.3 KiB | 2025-Dec-18 23:34 |
| lomiri-indicator-network-lang-1.1.2-r0.apk | 199.4 KiB | 2025-Sep-08 10:47 |
| lomiri-indicator-network-doc-1.1.2-r0.apk | 2.1 KiB | 2025-Sep-08 10:47 |
| lomiri-indicator-network-dev-1.1.2-r0.apk | 9.5 KiB | 2025-Sep-08 10:47 |
| lomiri-indicator-network-1.1.2-r0.apk | 585.5 KiB | 2025-Sep-08 10:47 |
| lomiri-indicator-location-systemd-25.4.22-r1.apk | 1.8 KiB | 2025-Nov-17 22:28 |
| lomiri-indicator-location-lang-25.4.22-r1.apk | 27.3 KiB | 2025-Nov-17 22:28 |
| lomiri-indicator-location-25.4.22-r1.apk | 21.5 KiB | 2025-Nov-17 22:28 |
| lomiri-history-service-dev-0.6-r20.apk | 11.1 KiB | 2025-Dec-19 21:20 |
| lomiri-history-service-0.6-r20.apk | 351.4 KiB | 2025-Dec-19 21:20 |
| lomiri-gallery-app-lang-3.0.2-r2.apk | 106.3 KiB | 2025-Aug-19 18:18 |
| lomiri-gallery-app-3.0.2-r2.apk | 3.7 MiB | 2025-Aug-19 18:18 |
| lomiri-filemanager-app-lang-1.1.4-r0.apk | 179.7 KiB | 2025-Aug-20 05:15 |
| lomiri-filemanager-app-1.1.4-r0.apk | 335.2 KiB | 2025-Aug-20 05:15 |
| lomiri-download-manager-lang-0.3.0-r0.apk | 30.1 KiB | 2025-Dec-09 20:17 |
| lomiri-download-manager-doc-0.3.0-r0.apk | 3.4 MiB | 2025-Dec-09 20:17 |
| lomiri-download-manager-dev-0.3.0-r0.apk | 16.9 KiB | 2025-Dec-09 20:17 |
| lomiri-download-manager-0.3.0-r0.apk | 600.1 KiB | 2025-Dec-09 20:17 |
| lomiri-docviewer-app-lang-3.1.2-r0.apk | 137.6 KiB | 2025-Aug-20 05:15 |
| lomiri-docviewer-app-doc-3.1.2-r0.apk | 2.0 KiB | 2025-Aug-20 05:15 |
| lomiri-docviewer-app-3.1.2-r0.apk | 227.7 KiB | 2025-Aug-20 05:15 |
| lomiri-content-hub-lang-2.2.1-r0.apk | 47.4 KiB | 2025-Dec-09 20:17 |
| lomiri-content-hub-doc-2.2.1-r0.apk | 1.4 MiB | 2025-Dec-09 20:17 |
| lomiri-content-hub-dev-2.2.1-r0.apk | 11.2 KiB | 2025-Dec-09 20:17 |
| lomiri-content-hub-2.2.1-r0.apk | 278.7 KiB | 2025-Dec-09 20:17 |
| lomiri-clock-app-lang-4.1.1-r0.apk | 456.0 KiB | 2025-May-10 02:33 |
| lomiri-clock-app-4.1.1-r0.apk | 222.4 KiB | 2025-May-10 02:33 |
| lomiri-calculator-app-lang-4.1.0-r0.apk | 41.5 KiB | 2025-Aug-20 05:15 |
| lomiri-calculator-app-4.1.0-r0.apk | 381.5 KiB | 2025-Aug-20 05:15 |
| lomiri-app-launch-dev-0.1.12-r5.apk | 20.1 KiB | 2025-Dec-18 23:34 |
| lomiri-app-launch-0.1.12-r5.apk | 351.0 KiB | 2025-Dec-18 23:34 |
| lomiri-api-dev-0.2.3-r0.apk | 32.1 KiB | 2025-Oct-26 05:20 |
| lomiri-api-0.2.3-r0.apk | 32.4 KiB | 2025-Oct-26 05:20 |
| lomiri-action-api-dev-1.2.1-r0.apk | 5.0 KiB | 2025-Oct-26 05:20 |
| lomiri-action-api-1.2.1-r0.apk | 78.3 KiB | 2025-Oct-26 05:20 |
| lomiri-0.5.0-r4.apk | 3.9 MiB | 2025-Dec-18 23:34 |
| lolcat-1.4-r0.apk | 9.3 KiB | 2024-Oct-26 05:46 |
| lol-html-dev-1.1.1-r1.apk | 6.5 KiB | 2024-Oct-26 05:46 |
| lol-html-1.1.1-r1.apk | 447.0 KiB | 2024-Oct-26 05:46 |
| logtop-libs-0.7-r1.apk | 15.5 KiB | 2025-Aug-09 12:11 |
| logtop-doc-0.7-r1.apk | 2.8 KiB | 2025-Aug-09 12:11 |
| logtop-0.7-r1.apk | 14.4 KiB | 2025-Aug-09 12:11 |
| logc-libs-dev-0.1.0-r0.apk | 6.1 KiB | 2024-Oct-26 05:46 |
| logc-libs-0.1.0-r0.apk | 1.4 KiB | 2024-Oct-26 05:46 |
| logc-libevent-0.1.0-r0.apk | 3.3 KiB | 2024-Oct-26 05:46 |
| logc-dev-0.5.0-r1.apk | 8.6 KiB | 2025-Jun-13 23:04 |
| logc-czmq-0.1.0-r0.apk | 4.0 KiB | 2024-Oct-26 05:46 |
| logc-config-0.5.0-r1.apk | 5.2 KiB | 2025-Jun-13 23:04 |
| logc-argp-0.5.0-r1.apk | 16.3 KiB | 2025-Jun-13 23:04 |
| logc-0.5.0-r1.apk | 8.5 KiB | 2025-Jun-13 23:04 |
| log4cxx-dev-1.1.0-r3.apk | 135.2 KiB | 2025-Feb-17 21:08 |
| log4cxx-1.1.0-r3.apk | 526.5 KiB | 2025-Feb-17 21:08 |
| log4cpp-dev-1.1.4-r1.apk | 39.0 KiB | 2024-Oct-26 05:46 |
| log4cpp-1.1.4-r1.apk | 74.9 KiB | 2024-Oct-26 05:46 |
| lockrun-1.1.3-r1.apk | 5.1 KiB | 2024-Oct-26 05:46 |
| llvm-next-test-utils-22.0.0_pre20251226-r0.apk | 569.2 KiB | 2025-Dec-27 20:04 |
| llvm-next-static-22.0.0_pre20251226-r0.apk | 80.0 MiB | 2025-Dec-27 20:04 |
| llvm-next-runtime-22.0.0_pre20251226-r0.apk | 487.8 KiB | 2025-Dec-27 20:04 |
| llvm-next-polly-22.0.0_pre20251226-r0.apk | 2.8 MiB | 2025-Dec-27 20:04 |
| llvm-next-openmp-dev-22.0.0_pre20251226-r0.apk | 136.1 KiB | 2025-Dec-27 20:04 |
| llvm-next-openmp-22.0.0_pre20251226-r0.apk | 490.0 KiB | 2025-Dec-27 20:04 |
| llvm-next-offload-dev-22.0.0_pre20251226-r0.apk | 377.9 KiB | 2025-Dec-27 20:04 |
| llvm-next-offload-22.0.0_pre20251226-r0.apk | 159.2 KiB | 2025-Dec-27 20:04 |
| llvm-next-lit-pyc-22.0.0_pre20251226-r0.apk | 165.3 KiB | 2025-Dec-27 20:04 |
| llvm-next-lit-22.0.0_pre20251226-r0.apk | 96.7 KiB | 2025-Dec-27 20:04 |
| llvm-next-linker-tools-22.0.0_pre20251226-r0.apk | 1.2 MiB | 2025-Dec-27 20:04 |
| llvm-next-libunwind-static-22.0.0_pre20251226-r0.apk | 31.7 KiB | 2025-Dec-27 20:04 |
| llvm-next-libunwind-dev-22.0.0_pre20251226-r0.apk | 20.8 KiB | 2025-Dec-27 20:04 |
| llvm-next-libunwind-22.0.0_pre20251226-r0.apk | 17.0 KiB | 2025-Dec-27 20:04 |
| llvm-next-libs-22.0.0_pre20251226-r0.apk | 32.9 MiB | 2025-Dec-27 20:04 |
| llvm-next-libgcc-dev-22.0.0_pre20251226-r0.apk | 2.5 KiB | 2025-Dec-27 20:04 |
| llvm-next-libgcc-22.0.0_pre20251226-r0.apk | 46.6 KiB | 2025-Dec-27 20:04 |
| llvm-next-libc++-static-22.0.0_pre20251226-r0.apk | 886.3 KiB | 2025-Dec-27 20:04 |
| llvm-next-libc++-dev-22.0.0_pre20251226-r0.apk | 1.8 MiB | 2025-Dec-27 20:04 |
| llvm-next-libc++-22.0.0_pre20251226-r0.apk | 364.7 KiB | 2025-Dec-27 20:04 |
| llvm-next-gtest-22.0.0_pre20251226-r0.apk | 524.8 KiB | 2025-Dec-27 20:04 |
| llvm-next-dev-22.0.0_pre20251226-r0.apk | 9.2 MiB | 2025-Dec-27 20:04 |
| llvm-next-22.0.0_pre20251226-r0.apk | 22.3 MiB | 2025-Dec-27 20:04 |
| llmnrd-openrc-0.7-r1.apk | 1.9 KiB | 2024-Oct-26 05:46 |
| llmnrd-doc-0.7-r1.apk | 3.0 KiB | 2024-Oct-26 05:46 |
| llmnrd-0.7-r1.apk | 16.1 KiB | 2024-Oct-26 05:46 |
| lldb-next-pyc-22.0.0_pre20251226-r0.apk | 316.9 KiB | 2025-Dec-27 20:04 |
| lldb-next-dev-22.0.0_pre20251226-r0.apk | 810.0 KiB | 2025-Dec-27 20:04 |
| lldb-next-22.0.0_pre20251226-r0.apk | 6.7 MiB | 2025-Dec-27 20:04 |
| lld-next-libs-22.0.0_pre20251226-r0.apk | 1.9 MiB | 2025-Dec-27 20:04 |
| lld-next-dev-22.0.0_pre20251226-r0.apk | 18.6 KiB | 2025-Dec-27 20:04 |
| lld-next-22.0.0_pre20251226-r0.apk | 8.4 KiB | 2025-Dec-27 20:04 |
| lizardfs-metalogger-openrc-3.13.0-r17.apk | 1.6 KiB | 2025-Jun-19 18:15 |
| lizardfs-metalogger-3.13.0-r17.apk | 128.0 KiB | 2025-Jun-19 18:15 |
| lizardfs-master-openrc-3.13.0-r17.apk | 1.6 KiB | 2025-Jun-19 18:15 |
| lizardfs-master-3.13.0-r17.apk | 854.6 KiB | 2025-Jun-19 18:15 |
| lizardfs-doc-3.13.0-r17.apk | 11.4 KiB | 2025-Jun-19 18:15 |
| lizardfs-client-3.13.0-r17.apk | 1.1 MiB | 2025-Jun-19 18:15 |
| lizardfs-chunkserver-openrc-3.13.0-r17.apk | 1.7 KiB | 2025-Jun-19 18:15 |
| lizardfs-chunkserver-3.13.0-r17.apk | 317.0 KiB | 2025-Jun-19 18:15 |
| lizardfs-cgiserv-openrc-3.13.0-r17.apk | 2.0 KiB | 2025-Jun-19 18:15 |
| lizardfs-cgiserv-3.13.0-r17.apk | 7.4 KiB | 2025-Jun-19 18:15 |
| lizardfs-cgi-3.13.0-r17.apk | 31.5 KiB | 2025-Jun-19 18:15 |
| lizardfs-bash-completion-3.13.0-r17.apk | 1.9 KiB | 2025-Jun-19 18:15 |
| lizardfs-3.13.0-r17.apk | 108.4 KiB | 2025-Jun-19 18:15 |
| litterbox-doc-1.9-r2.apk | 7.2 KiB | 2025-Sep-13 07:23 |
| litterbox-1.9-r2.apk | 33.7 KiB | 2025-Sep-13 07:23 |
| litehtml-static-0.9-r2.apk | 985.4 KiB | 2025-Feb-17 21:08 |
| litehtml-dev-0.9-r2.apk | 41.7 KiB | 2025-Feb-17 21:08 |
| litehtml-0.9-r2.apk | 324.4 KiB | 2025-Feb-17 21:08 |
| liquibase-doc-4.9.1-r0.apk | 57.1 KiB | 2024-Oct-26 05:46 |
| liquibase-4.9.1-r0.apk | 31.6 MiB | 2024-Oct-26 05:46 |
| linuxptp-tz2alt-4.4-r0.apk | 20.1 KiB | 2024-Nov-18 02:18 |
| linuxptp-ts2phc-4.4-r0.apk | 36.6 KiB | 2024-Nov-18 02:18 |
| linuxptp-timemaster-4.4-r0.apk | 16.0 KiB | 2024-Nov-18 02:18 |
| linuxptp-ptp4l-4.4-r0.apk | 79.6 KiB | 2024-Nov-18 02:18 |
| linuxptp-pmc-4.4-r0.apk | 36.1 KiB | 2024-Nov-18 02:18 |
| linuxptp-phc_ctl-4.4-r0.apk | 10.2 KiB | 2024-Nov-18 02:18 |
| linuxptp-phc2sys-4.4-r0.apk | 37.4 KiB | 2024-Nov-18 02:18 |
| linuxptp-nsm-4.4-r0.apk | 33.0 KiB | 2024-Nov-18 02:18 |
| linuxptp-hwstamp_ctl-4.4-r0.apk | 4.4 KiB | 2024-Nov-18 02:18 |
| linuxptp-doc-4.4-r0.apk | 38.4 KiB | 2024-Nov-18 02:18 |
| linuxptp-4.4-r0.apk | 1.2 KiB | 2024-Nov-18 02:18 |
| linuxkit-doc-1.8.2-r1.apk | 10.3 KiB | 2025-Dec-06 01:44 |
| linuxkit-1.8.2-r1.apk | 13.1 MiB | 2025-Dec-06 01:44 |
| linux-timemachine-1.3.2-r0.apk | 5.0 KiB | 2024-Oct-26 05:46 |
| linux-gpib-udev-4.3.7-r0.apk | 2.6 KiB | 2025-Dec-07 08:10 |
| linux-gpib-doc-4.3.7-r0.apk | 326.4 KiB | 2025-Dec-07 08:10 |
| linux-gpib-dev-4.3.7-r0.apk | 7.7 KiB | 2025-Dec-07 08:10 |
| linux-gpib-4.3.7-r0.apk | 65.3 KiB | 2025-Dec-07 08:10 |
| linux-apfs-rw-src-0.3.8-r0.apk | 197.0 KiB | 2024-Oct-26 05:46 |
| linphone-libs-5.3.38-r0.apk | 2.9 MiB | 2024-Oct-26 05:46 |
| linphone-dev-5.3.38-r0.apk | 249.7 KiB | 2024-Oct-26 05:46 |
| linphone-5.3.38-r0.apk | 9.0 MiB | 2024-Oct-26 05:46 |
| linkquisition-1.6.1-r10.apk | 12.1 MiB | 2025-Dec-06 01:44 |
| linkchecker-pyc-10.6.0-r0.apk | 253.7 KiB | 2025-Aug-10 20:41 |
| linkchecker-doc-10.6.0-r0.apk | 39.1 KiB | 2025-Aug-10 20:41 |
| linkchecker-10.6.0-r0.apk | 181.1 KiB | 2025-Aug-10 20:41 |
| limnoria-pyc-20240828-r0.apk | 1.2 MiB | 2024-Oct-26 05:46 |
| limnoria-doc-20240828-r0.apk | 8.4 KiB | 2024-Oct-26 05:46 |
| limnoria-20240828-r0.apk | 1.1 MiB | 2024-Oct-26 05:46 |
| licenseheaders-pyc-0.8.8-r4.apk | 18.4 KiB | 2024-Oct-26 05:46 |
| licenseheaders-0.8.8-r4.apk | 17.9 KiB | 2024-Oct-26 05:46 |
| libzvbi-static-0.2.44-r0.apk | 465.3 KiB | 2025-Mar-12 05:33 |
| libzvbi-dev-0.2.44-r0.apk | 14.3 KiB | 2025-Mar-12 05:33 |
| libzvbi-0.2.44-r0.apk | 234.8 KiB | 2025-Mar-12 05:33 |
| libzrtpcpp-dev-4.7.0-r0.apk | 37.9 KiB | 2025-Jan-05 06:55 |
| libzrtpcpp-4.7.0-r0.apk | 164.6 KiB | 2025-Jan-05 06:55 |
| libxo-doc-1.7.5-r0.apk | 62.8 KiB | 2025-Jan-13 07:45 |
| libxo-dev-1.7.5-r0.apk | 124.0 KiB | 2025-Jan-13 07:45 |
| libxo-1.7.5-r0.apk | 180.7 KiB | 2025-Jan-13 07:45 |
| libxml++-dev-5.4.0-r0.apk | 29.4 KiB | 2025-Feb-17 21:08 |
| libxml++-5.4.0-r0.apk | 64.5 KiB | 2025-Feb-17 21:08 |
| libwmiclient-dev-1.3.16-r5.apk | 1.7 KiB | 2024-Oct-26 05:46 |
| libwmiclient-1.3.16-r5.apk | 1.5 KiB | 2024-Oct-26 05:46 |
| libwhich-1.2.0-r0.apk | 4.3 KiB | 2024-Oct-26 05:46 |
| libwbxml-doc-0.11.8-r0.apk | 28.5 KiB | 2024-Oct-26 05:46 |
| libwbxml-dev-0.11.8-r0.apk | 9.2 KiB | 2024-Oct-26 05:46 |
| libwbxml-0.11.8-r0.apk | 75.5 KiB | 2024-Oct-26 05:46 |
| libwasmtime-static-39.0.1-r0.apk | 6.0 MiB | 2025-Dec-09 06:16 |
| libwasmtime-39.0.1-r0.apk | 3.4 MiB | 2025-Dec-09 06:16 |
| libvoikko-doc-4.3.2-r1.apk | 5.7 KiB | 2024-Oct-26 05:46 |
| libvoikko-dev-4.3.2-r1.apk | 9.9 KiB | 2024-Oct-26 05:46 |
| libvoikko-4.3.2-r1.apk | 125.4 KiB | 2024-Oct-26 05:46 |
| libvmaf-dev-3.0.0-r0.apk | 256.5 KiB | 2024-Oct-26 05:46 |
| libvmaf-3.0.0-r0.apk | 330.3 KiB | 2024-Oct-26 05:46 |
| libvisio2svg-utils-0.5.5-r3.apk | 127.9 KiB | 2024-Oct-26 05:46 |
| libvisio2svg-dev-0.5.5-r3.apk | 2.9 KiB | 2024-Oct-26 05:45 |
| libvisio2svg-0.5.5-r3.apk | 16.0 KiB | 2024-Oct-26 05:45 |
| libvdpau-va-gl-0.4.2-r0.apk | 59.1 KiB | 2024-Oct-26 05:45 |
| libvalkey-tls-0.2.1-r0.apk | 6.7 KiB | 2025-Nov-17 20:56 |
| libvalkey-dev-0.2.1-r0.apk | 772.7 KiB | 2025-Nov-17 20:56 |
| libvalkey-0.2.1-r0.apk | 62.5 KiB | 2025-Nov-17 20:56 |
| libupstart-2.0.3-r5.apk | 59.7 KiB | 2024-Oct-26 05:45 |
| libuninameslist-doc-20230916-r0.apk | 2.0 KiB | 2024-Oct-26 05:45 |
| libuninameslist-dev-20230916-r0.apk | 3.4 KiB | 2024-Oct-26 05:45 |
| libuninameslist-20230916-r0.apk | 553.2 KiB | 2024-Oct-26 05:45 |
| libuecc-dev-7-r4.apk | 4.6 KiB | 2025-Mar-04 01:06 |
| libuecc-7-r4.apk | 10.1 KiB | 2025-Mar-04 01:06 |
| libucl-doc-0.9.0-r0.apk | 8.8 KiB | 2024-Oct-26 05:45 |
| libucl-dev-0.9.0-r0.apk | 133.4 KiB | 2024-Oct-26 05:45 |
| libucl-0.9.0-r0.apk | 54.2 KiB | 2024-Oct-26 05:45 |
| libtsm-dev-4.1.0-r0.apk | 11.0 KiB | 2025-Jul-08 15:05 |
| libtsm-4.1.0-r0.apk | 27.5 KiB | 2025-Jul-08 15:05 |
| libtins-doc-4.5-r2.apk | 2.3 KiB | 2025-Oct-15 17:21 |
| libtins-dev-4.5-r2.apk | 137.8 KiB | 2025-Oct-15 17:21 |
| libtins-4.5-r2.apk | 314.0 KiB | 2025-Oct-15 17:21 |
| libtcmu-dev-1.6.0-r6.apk | 1.5 KiB | 2024-Oct-26 05:45 |
| libtcmu-1.6.0-r6.apk | 37.3 KiB | 2024-Oct-26 05:45 |
| libtatsu-dev-1.0.5-r0.apk | 33.3 KiB | 2025-Oct-07 02:33 |
| libtatsu-1.0.5-r0.apk | 16.0 KiB | 2025-Oct-07 02:33 |
| libstirshaken-tools-0_git20240208-r4.apk | 166.9 KiB | 2025-Apr-29 04:56 |
| libstirshaken-dev-0_git20240208-r4.apk | 122.6 KiB | 2025-Apr-29 04:56 |
| libstirshaken-0_git20240208-r4.apk | 52.6 KiB | 2025-Apr-29 04:56 |
| libspatialindex-dev-2.1.0-r1.apk | 21.4 KiB | 2025-Nov-10 15:22 |
| libspatialindex-2.1.0-r1.apk | 308.9 KiB | 2025-Nov-10 15:22 |
| libsirocco-dev-2.1.1-r0.apk | 2.2 KiB | 2025-Sep-01 03:03 |
| libsirocco-2.1.1-r0.apk | 62.2 KiB | 2025-Sep-01 03:03 |
| libsimplebluez-0.10.3-r0.apk | 145.9 KiB | 2025-Jul-16 06:02 |
| libsimpleble-c-0.10.3-r0.apk | 15.8 KiB | 2025-Jul-16 06:02 |
| libsimpleble-0.10.3-r0.apk | 201.7 KiB | 2025-Jul-16 06:02 |
| libsigrokdecode-dev-0.5.3-r4.apk | 58.5 KiB | 2024-Oct-26 05:45 |
| libsigrokdecode-0.5.3-r4.apk | 335.1 KiB | 2024-Oct-26 05:45 |
| libsigrok-dev-0.5.2-r3.apk | 30.7 KiB | 2024-Oct-26 05:45 |
| libsigrok-0.5.2-r3.apk | 515.8 KiB | 2024-Oct-26 05:45 |
| libshadowsocks-libev-3.3.5-r4.apk | 49.5 KiB | 2024-Oct-26 05:45 |
| libserialport-dev-0.1.1-r1.apk | 61.1 KiB | 2024-Oct-26 05:45 |
| libserialport-0.1.1-r1.apk | 19.3 KiB | 2024-Oct-26 05:45 |
| libsemigroups-static-2.7.3-r1.apk | 2.9 MiB | 2024-Dec-15 06:14 |
| libsemigroups-dev-2.7.3-r1.apk | 334.5 KiB | 2024-Dec-15 06:14 |
| libsemigroups-2.7.3-r1.apk | 776.6 KiB | 2024-Dec-15 06:14 |
| libsemanage-doc-3.6-r1.apk | 22.9 KiB | 2024-Oct-26 05:45 |
| libsemanage-dev-3.6-r1.apk | 221.3 KiB | 2024-Oct-26 05:45 |
| libsemanage-3.6-r1.apk | 94.4 KiB | 2024-Oct-26 05:45 |
| libsds-dev-2.0.0-r1.apk | 4.0 KiB | 2024-Oct-26 05:45 |
| libsds-2.0.0-r1.apk | 10.2 KiB | 2024-Oct-26 05:45 |
| libsbsms-dev-2.3.0-r0.apk | 159.2 KiB | 2024-Oct-26 05:45 |
| libsbsms-2.3.0-r0.apk | 105.9 KiB | 2024-Oct-26 05:45 |
| libretro-xrick-0_git20220331-r0.apk | 122.8 KiB | 2024-Oct-26 05:45 |
| libretro-tyrquake-0_git20220409-r0.apk | 421.4 KiB | 2024-Oct-26 05:45 |
| libretro-theodore-3.1-r0.apk | 870.8 KiB | 2024-Oct-26 05:45 |
| libretro-snes9x-0_git20240819-r0.apk | 690.2 KiB | 2024-Oct-26 05:45 |
| libretro-scummvm-0_git20210325-r0.apk | 21.0 MiB | 2024-Oct-26 05:45 |
| libretro-ppsspp-0_git20210516-r15.apk | 2.2 MiB | 2025-Apr-11 05:34 |
| libretro-pocketcdg-0_git20220327-r0.apk | 87.7 KiB | 2024-Oct-26 05:45 |
| libretro-picodrive-0_git20220405-r0.apk | 533.3 KiB | 2024-Oct-26 05:45 |
| libretro-opera-0_git20211214-r0.apk | 191.5 KiB | 2024-Oct-26 05:45 |
| libretro-openlara-0_git20210121-r0.apk | 564.6 KiB | 2024-Oct-26 05:45 |
| libretro-nxengine-0_git20220301-r0.apk | 324.4 KiB | 2024-Oct-26 05:45 |
| libretro-neocd-0_git20220325-r1.apk | 481.4 KiB | 2024-Oct-26 05:45 |
| libretro-mu-0_git20220317-r0.apk | 189.1 KiB | 2024-Oct-26 05:45 |
| libretro-mame2003-0_git20240904-r0.apk | 8.1 MiB | 2024-Oct-26 05:45 |
| libretro-mame2000-0_git20240701-r0.apk | 3.4 MiB | 2024-Oct-26 05:45 |
| libretro-gw-0_git20220410-r0.apk | 194.2 KiB | 2024-Oct-26 05:45 |
| libretro-gong-0_git20220319-r0.apk | 9.6 KiB | 2024-Oct-26 05:45 |
| libretro-gme-0_git20240628-r0.apk | 195.5 KiB | 2024-Oct-26 05:45 |
| libretro-genesis-plus-gx-0_git20230503-r0.apk | 1.1 MiB | 2024-Oct-26 05:45 |
| libretro-fuse-0_git20220417-r0.apk | 982.6 KiB | 2024-Oct-26 05:45 |
| libretro-frodo-0_git20221221-r0.apk | 167.6 KiB | 2024-Oct-26 05:45 |
| libretro-freeintv-0_git20220319-r0.apk | 36.6 KiB | 2024-Oct-26 05:45 |
| libretro-fbneo-0_git20220416-r0.apk | 12.3 MiB | 2024-Oct-26 05:45 |
| libretro-dinothawr-0_git20220401-r0.apk | 143.1 KiB | 2024-Oct-26 05:45 |
| libretro-daphne-0_git20210108-r2.apk | 627.7 KiB | 2024-Oct-26 05:45 |
| libretro-crocods-0_git20210314-r1.apk | 287.6 KiB | 2024-Oct-26 05:45 |
| libretro-cap32-0_git20220419-r0.apk | 305.0 KiB | 2024-Oct-26 05:45 |
| libretro-cannonball-0_git20220309-r6.apk | 270.0 KiB | 2024-Oct-26 05:45 |
| libretro-bluemsx-0_git20240808-r0.apk | 675.8 KiB | 2024-Oct-26 05:45 |
| libretro-beetle-supergrafx-0_git20220218-r0.apk | 491.1 KiB | 2024-Oct-26 05:45 |
| libretro-beetle-pcfx-0_git20220409-r0.apk | 345.9 KiB | 2024-Oct-26 05:45 |
| libretro-beetle-pce-fast-0_git20220205-r0.apk | 484.7 KiB | 2024-Oct-26 05:45 |
| libretro-atari800-0_git20240924-r0.apk | 290.8 KiB | 2024-Oct-26 05:45 |
| libresprite-doc-1.2-r0.apk | 14.7 KiB | 2025-Apr-14 07:17 |
| libresprite-1.2-r0.apk | 14.9 MiB | 2025-Apr-14 07:17 |
| librespot-openrc-0.8.0-r0.apk | 1.9 KiB | 2025-Nov-20 03:35 |
| librespot-0.8.0-r0.apk | 2.3 MiB | 2025-Nov-20 03:35 |
| libreoffice-voikko-5.0_git20200127-r0.apk | 45.3 KiB | 2024-Oct-26 05:45 |
| libre-dev-4.1.0-r0.apk | 716.8 KiB | 2025-Oct-03 16:59 |
| libre-4.1.0-r0.apk | 295.0 KiB | 2025-Oct-03 16:59 |
| libqtdbustest-0.4.0-r0.apk | 32.3 KiB | 2025-Sep-04 08:49 |
| libqtdbusmock-0.9.1-r2.apk | 68.0 KiB | 2025-Feb-17 21:08 |
| libqofono-qt6-0.124-r0.apk | 416.6 KiB | 2025-Jan-10 21:55 |
| libqofono-qt5-0.124-r0.apk | 289.9 KiB | 2025-Jan-10 21:55 |
| libqofono-dev-0.124-r0.apk | 42.1 KiB | 2025-Jan-10 21:55 |
| libqofono-0.124-r0.apk | 1.2 KiB | 2025-Jan-10 21:55 |
| liboggz-doc-1.1.1-r2.apk | 134.2 KiB | 2024-Oct-26 05:45 |
| liboggz-dev-1.1.1-r2.apk | 354.4 KiB | 2024-Oct-26 05:45 |
| liboggz-1.1.1-r2.apk | 100.7 KiB | 2024-Oct-26 05:45 |
| libofx-tools-0.10.9-r1.apk | 104.2 KiB | 2024-Oct-26 05:45 |
| libofx-dev-0.10.9-r1.apk | 19.6 KiB | 2024-Oct-26 05:45 |
| libofx-0.10.9-r1.apk | 63.3 KiB | 2024-Oct-26 05:45 |
| libnxml-dev-0.18.3-r0.apk | 47.0 KiB | 2024-Oct-26 05:45 |
| libnxml-0.18.3-r0.apk | 20.6 KiB | 2024-Oct-26 05:45 |
| libntl-static-11.6.0-r0.apk | 3.7 MiB | 2025-Nov-08 16:23 |
| libntl-doc-11.6.0-r0.apk | 365.5 KiB | 2025-Nov-08 16:23 |
| libntl-dev-11.6.0-r0.apk | 157.5 KiB | 2025-Nov-08 16:23 |
| libntl-11.6.0-r0.apk | 1.2 MiB | 2025-Nov-08 16:23 |
| libnih-doc-1.0.3-r7.apk | 2.7 KiB | 2024-Oct-26 05:45 |
| libnih-dev-1.0.3-r7.apk | 165.5 KiB | 2024-Oct-26 05:45 |
| libnih-1.0.3-r7.apk | 111.2 KiB | 2024-Oct-26 05:45 |
| libnfcdef-dev-1.0.1-r1.apk | 5.7 KiB | 2025-Aug-09 12:10 |
| libnfcdef-1.0.1-r1.apk | 13.8 KiB | 2025-Aug-09 12:10 |
| libnest2d-dev-0.4-r7.apk | 69.9 KiB | 2025-Feb-06 13:49 |
| libnest2d-0.4-r7.apk | 1.2 KiB | 2025-Feb-06 13:49 |
| libmysofa-tools-1.3.2-r0.apk | 1.1 MiB | 2024-Oct-26 05:45 |
| libmysofa-dev-1.3.2-r0.apk | 7.1 KiB | 2024-Oct-26 05:45 |
| libmysofa-1.3.2-r0.apk | 26.2 KiB | 2024-Oct-26 05:45 |
| libmygpo-qt-dev-1.1.0-r2.apk | 11.8 KiB | 2024-Oct-26 05:45 |
| libmygpo-qt-1.1.0-r2.apk | 83.5 KiB | 2024-Oct-26 05:45 |
| libmustache-0.5.0-r1.apk | 128.7 KiB | 2024-Oct-26 05:45 |
| libmrss-dev-0.19.2-r1.apk | 53.7 KiB | 2024-Oct-26 05:45 |
| libmrss-0.19.2-r1.apk | 19.5 KiB | 2024-Oct-26 05:45 |
| libmpfi-static-1.5.4-r2.apk | 93.0 KiB | 2024-Oct-26 05:45 |
| libmpfi-doc-1.5.4-r2.apk | 18.7 KiB | 2024-Oct-26 05:45 |
| libmpfi-dev-1.5.4-r2.apk | 5.4 KiB | 2024-Oct-26 05:45 |
| libmpfi-1.5.4-r2.apk | 39.1 KiB | 2024-Oct-26 05:45 |
| libmhash-doc-0.9.9.9-r3.apk | 8.1 KiB | 2024-Oct-26 05:45 |
| libmhash-dev-0.9.9.9-r3.apk | 119.7 KiB | 2024-Oct-26 05:45 |
| libmhash-0.9.9.9-r3.apk | 96.4 KiB | 2024-Oct-26 05:45 |
| libmdf-dev-1.0.29-r0.apk | 13.8 KiB | 2024-Oct-26 05:45 |
| libmdf-1.0.29-r0.apk | 35.3 KiB | 2024-Oct-26 05:45 |
| libmdbx-doc-0.11.8-r0.apk | 9.1 KiB | 2024-Oct-26 05:45 |
| libmdbx-dev-0.11.8-r0.apk | 93.4 KiB | 2024-Oct-26 05:45 |
| libmdbx-dbg-0.11.8-r0.apk | 2.8 MiB | 2024-Oct-26 05:45 |
| libmdbx-0.11.8-r0.apk | 802.0 KiB | 2024-Oct-26 05:45 |
| libm4rie-static-20200125-r5.apk | 283.7 KiB | 2025-Jan-16 03:15 |
| libm4rie-dev-20200125-r5.apk | 24.4 KiB | 2025-Jan-16 03:15 |
| libm4rie-20200125-r5.apk | 177.9 KiB | 2025-Jan-16 03:15 |
| libm4ri-static-20240729-r2.apk | 225.8 KiB | 2025-Jan-16 03:15 |
| libm4ri-dev-20240729-r2.apk | 31.5 KiB | 2025-Jan-16 03:15 |
| libm4ri-20240729-r2.apk | 146.1 KiB | 2025-Jan-16 03:15 |
| liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 19.6 KiB | 2024-Oct-26 05:45 |
| liblastfm-qt-1.1.10_git20190823-r3.apk | 160.1 KiB | 2024-Oct-26 05:45 |
| libjodycode-doc-3.1.1-r0.apk | 3.7 KiB | 2024-Oct-26 05:45 |
| libjodycode-dev-3.1.1-r0.apk | 4.2 KiB | 2024-Oct-26 05:45 |
| libjodycode-3.1.1-r0.apk | 7.8 KiB | 2024-Oct-26 05:45 |
| libiscsi-utils-1.19.0-r2.apk | 83.8 KiB | 2024-Oct-26 05:45 |
| libiscsi-static-1.19.0-r2.apk | 122.6 KiB | 2024-Oct-26 05:45 |
| libiscsi-doc-1.19.0-r2.apk | 9.3 KiB | 2024-Oct-26 05:45 |
| libiscsi-dev-1.19.0-r2.apk | 20.4 KiB | 2024-Oct-26 05:45 |
| libiscsi-1.19.0-r2.apk | 59.4 KiB | 2024-Oct-26 05:45 |
| libirecovery-progs-1.3.0-r0.apk | 8.5 KiB | 2025-Oct-07 02:33 |
| libirecovery-dev-1.3.0-r0.apk | 4.2 KiB | 2025-Oct-07 02:33 |
| libirecovery-1.3.0-r0.apk | 25.1 KiB | 2025-Oct-07 02:33 |
| libinfnoise-0.3.3-r0.apk | 13.3 KiB | 2025-May-26 17:58 |
| libiio-tools-0.25-r2.apk | 84.8 KiB | 2024-Oct-26 05:45 |
| libiio-pyc-0.25-r2.apk | 21.0 KiB | 2024-Oct-26 05:45 |
| libiio-doc-0.25-r2.apk | 17.9 KiB | 2024-Oct-26 05:45 |
| libiio-dev-0.25-r2.apk | 13.4 KiB | 2024-Oct-26 05:45 |
| libiio-0.25-r2.apk | 53.1 KiB | 2024-Oct-26 05:45 |
| libigraph-dev-1.0.0-r0.apk | 89.7 KiB | 2025-Oct-28 11:34 |
| libigraph-1.0.0-r0.apk | 1.9 MiB | 2025-Oct-28 11:34 |
| libideviceactivation-doc-1.1.1-r5.apk | 2.2 KiB | 2024-Oct-31 07:44 |
| libideviceactivation-dev-1.1.1-r5.apk | 3.3 KiB | 2024-Oct-31 07:44 |
| libideviceactivation-1.1.1-r5.apk | 16.7 KiB | 2024-Oct-31 07:44 |
| libhwpwm-doc-0.4.4-r0.apk | 14.0 KiB | 2024-Oct-26 05:45 |
| libhwpwm-dev-0.4.4-r0.apk | 7.6 KiB | 2024-Oct-26 05:45 |
| libhwpwm-0.4.4-r0.apk | 5.5 KiB | 2024-Oct-26 05:45 |
| libhomfly-dev-1.02_p6-r1.apk | 28.7 KiB | 2024-Oct-26 05:45 |
| libhomfly-1.02_p6-r1.apk | 15.9 KiB | 2024-Oct-26 05:45 |
| libgrapheme-doc-2.0.2-r0.apk | 20.7 KiB | 2025-Jul-23 06:37 |
| libgrapheme-dev-2.0.2-r0.apk | 38.0 KiB | 2025-Jul-23 06:37 |
| libgrapheme-2.0.2-r0.apk | 25.9 KiB | 2025-Jul-23 06:37 |
| libglib-testing-doc-0.1.1-r0.apk | 25.0 KiB | 2025-May-08 21:21 |
| libglib-testing-dev-0.1.1-r0.apk | 5.5 KiB | 2025-May-08 21:21 |
| libglib-testing-0.1.1-r0.apk | 12.6 KiB | 2025-May-08 21:21 |
| libgivaro-static-4.2.0-r2.apk | 160.5 KiB | 2024-Oct-26 05:45 |
| libgivaro-dev-4.2.0-r2.apk | 245.2 KiB | 2024-Oct-26 05:45 |
| libgivaro-4.2.0-r2.apk | 75.9 KiB | 2024-Oct-26 05:45 |
| libgdcm-3.2.2-r3.apk | 2.6 MiB | 2025-Dec-02 17:54 |
| libfort-dev-0.4.2-r0.apk | 17.7 KiB | 2024-Oct-26 05:45 |
| libfort-0.4.2-r0.apk | 31.9 KiB | 2024-Oct-26 05:45 |
| libfoma-0.10.0_git20240712-r0.apk | 104.3 KiB | 2024-Oct-26 05:45 |
| libfishsound-doc-1.0.0-r1.apk | 75.5 KiB | 2024-Oct-26 05:45 |
| libfishsound-dev-1.0.0-r1.apk | 111.9 KiB | 2024-Oct-26 05:45 |
| libfishsound-1.0.0-r1.apk | 10.1 KiB | 2024-Oct-26 05:45 |
| libettercap-0.8.3.1-r3.apk | 199.3 KiB | 2024-Oct-26 05:45 |
| libetebase-dev-0.5.8-r0.apk | 10.9 KiB | 2025-Sep-28 04:41 |
| libetebase-0.5.8-r0.apk | 801.4 KiB | 2025-Sep-28 04:41 |
| liberasurecode-dev-1.6.3-r1.apk | 18.3 KiB | 2024-Oct-26 05:45 |
| liberasurecode-1.6.3-r1.apk | 41.7 KiB | 2024-Oct-26 05:45 |
| libemf2svg-utils-1.1.0-r3.apk | 17.7 KiB | 2025-Oct-06 20:58 |
| libemf2svg-1.1.0-r3.apk | 154.7 KiB | 2025-Oct-06 20:58 |
| libecap-static-1.0.1-r1.apk | 26.7 KiB | 2024-Oct-26 05:45 |
| libecap-dev-1.0.1-r1.apk | 11.4 KiB | 2024-Oct-26 05:45 |
| libecap-1.0.1-r1.apk | 13.9 KiB | 2024-Oct-26 05:45 |
| libeantic-dev-2.1.0-r2.apk | 17.5 KiB | 2025-Nov-26 17:33 |
| libeantic-2.1.0-r2.apk | 79.9 KiB | 2025-Nov-26 17:33 |
| libdng-utils-0.2.1-r0.apk | 6.0 KiB | 2024-Dec-28 07:06 |
| libdng-doc-0.2.1-r0.apk | 4.2 KiB | 2024-Dec-28 07:06 |
| libdng-dev-0.2.1-r0.apk | 3.2 KiB | 2024-Dec-28 07:06 |
| libdng-0.2.1-r0.apk | 11.7 KiB | 2024-Dec-28 07:06 |
| libdcmtk-3.6.9-r0.apk | 6.4 MiB | 2025-Apr-08 07:07 |
| libdbusaccess-dev-1.0.20-r1.apk | 5.0 KiB | 2025-Aug-09 12:10 |
| libdbusaccess-1.0.20-r1.apk | 18.2 KiB | 2025-Aug-09 12:10 |
| libcyaml-static-1.4.2-r0.apk | 43.9 KiB | 2024-Oct-26 05:45 |
| libcyaml-doc-1.4.2-r0.apk | 8.6 KiB | 2024-Oct-26 05:45 |
| libcyaml-dev-1.4.2-r0.apk | 12.9 KiB | 2024-Oct-26 05:45 |
| libcyaml-1.4.2-r0.apk | 21.4 KiB | 2024-Oct-26 05:45 |
| libctl-doc-4.5.1-r1.apk | 3.0 KiB | 2024-Oct-26 05:45 |
| libctl-dev-4.5.1-r1.apk | 38.5 KiB | 2024-Oct-26 05:45 |
| libctl-4.5.1-r1.apk | 99.1 KiB | 2024-Oct-26 05:45 |
| libcotp-dev-3.1.1-r0.apk | 2.5 KiB | 2025-Oct-04 07:25 |
| libcotp-3.1.1-r0.apk | 9.6 KiB | 2025-Oct-04 07:25 |
| libcorkipset-tools-1.1.1-r4.apk | 11.5 KiB | 2024-Oct-26 05:45 |
| libcorkipset-dev-1.1.1-r4.apk | 8.1 KiB | 2024-Oct-26 05:45 |
| libcorkipset-1.1.1-r4.apk | 13.5 KiB | 2024-Oct-26 05:45 |
| libcork-tools-0.15.0-r7.apk | 4.6 KiB | 2024-Oct-26 05:45 |
| libcork-dev-0.15.0-r7.apk | 30.0 KiB | 2024-Oct-26 05:45 |
| libcork-0.15.0-r7.apk | 35.2 KiB | 2024-Oct-26 05:45 |
| libcob4-3.2-r0.apk | 213.1 KiB | 2025-Jul-28 23:03 |
| libcli-1.10.7-r0.apk | 31.2 KiB | 2024-Oct-26 05:45 |
| libclc-next-22.0.0_pre20251226-r0.apk | 12.3 MiB | 2025-Dec-27 20:04 |
| libbsoncxx-dev-3.8.0-r0.apk | 38.7 KiB | 2024-Oct-26 05:45 |
| libbsoncxx-3.8.0-r0.apk | 43.8 KiB | 2024-Oct-26 05:45 |
| libbraiding-dev-1.3.1-r0.apk | 15.1 KiB | 2024-Nov-17 13:16 |
| libbraiding-1.3.1-r0.apk | 90.3 KiB | 2024-Nov-17 13:16 |
| libbloom-dev-2.0-r0.apk | 3.5 KiB | 2024-Oct-26 05:45 |
| libbloom-2.0-r0.apk | 8.6 KiB | 2024-Oct-26 05:45 |
| libbamf-doc-0.5.6-r1.apk | 31.1 KiB | 2024-Oct-26 05:45 |
| libbamf-dev-0.5.6-r1.apk | 6.4 KiB | 2024-Oct-26 05:45 |
| libbamf-0.5.6-r1.apk | 153.1 KiB | 2024-Oct-26 05:45 |
| libb64-doc-2.0.0.1-r0.apk | 8.0 KiB | 2024-Oct-26 05:45 |
| libb64-dev-2.0.0.1-r0.apk | 6.7 KiB | 2024-Oct-26 05:45 |
| libb64-2.0.0.1-r0.apk | 4.3 KiB | 2024-Oct-26 05:45 |
| libaudec-tools-0.3.4-r3.apk | 28.2 KiB | 2024-Oct-26 05:45 |
| libaudec-static-0.3.4-r3.apk | 43.2 KiB | 2024-Oct-26 05:45 |
| libaudec-dev-0.3.4-r3.apk | 4.3 KiB | 2024-Oct-26 05:45 |
| libaudec-0.3.4-r3.apk | 28.1 KiB | 2024-Oct-26 05:45 |
| libantlr4-dev-4.13.2-r0.apk | 1.5 MiB | 2024-Dec-12 20:01 |
| libantlr4-4.13.2-r0.apk | 446.7 KiB | 2024-Dec-12 20:01 |
| libantlr3c-dev-3.4-r3.apk | 58.3 KiB | 2024-Oct-26 05:45 |
| libantlr3c-3.4-r3.apk | 53.2 KiB | 2024-Oct-26 05:45 |
| libabigail-tools-2.8-r0.apk | 123.3 KiB | 2025-Nov-03 06:20 |
| libabigail-doc-2.8-r0.apk | 76.8 KiB | 2025-Nov-03 06:20 |
| libabigail-dev-2.8-r0.apk | 2.7 MiB | 2025-Nov-03 06:20 |
| libabigail-bash-completion-2.8-r0.apk | 2.8 KiB | 2025-Nov-03 06:20 |
| libabigail-2.8-r0.apk | 986.7 KiB | 2025-Nov-03 06:20 |
| lgogdownloader-doc-3.16-r1.apk | 8.5 KiB | 2025-Mar-25 23:44 |
| lgogdownloader-3.16-r1.apk | 368.7 KiB | 2025-Mar-25 23:44 |
| lfm-pyc-3.1-r4.apk | 133.6 KiB | 2024-Oct-26 05:45 |
| lfm-doc-3.1-r4.apk | 2.7 KiB | 2024-Oct-26 05:45 |
| lfm-3.1-r4.apk | 88.1 KiB | 2024-Oct-26 05:45 |
| levmar-dev-2.6-r0.apk | 83.2 KiB | 2024-Oct-26 05:45 |
| leptosfmt-doc-0.1.33-r0.apk | 6.1 KiB | 2025-Jun-13 23:35 |
| leptosfmt-0.1.33-r0.apk | 998.2 KiB | 2025-Jun-13 23:35 |
| legume-doc-1.4.2-r14.apk | 12.3 KiB | 2025-Dec-06 01:44 |
| legume-1.4.2-r14.apk | 1.5 MiB | 2025-Dec-06 01:44 |
| lefthook-doc-2.0.4-r1.apk | 2.2 KiB | 2025-Dec-06 01:44 |
| lefthook-2.0.4-r1.apk | 5.6 MiB | 2025-Dec-06 01:44 |
| ledmon-doc-1.0.0-r0.apk | 14.1 KiB | 2024-Oct-26 05:45 |
| ledmon-1.0.0-r0.apk | 80.7 KiB | 2024-Oct-26 05:45 |
| ldc-runtime-cross-x86_64-1.41.0-r0.apk | 6.7 MiB | 2025-Jun-08 01:16 |
| ldc-runtime-cross-riscv64-1.41.0-r0.apk | 7.1 MiB | 2025-Jun-08 01:16 |
| ldc-runtime-cross-ppc64le-1.41.0-r0.apk | 6.9 MiB | 2025-Jun-08 01:16 |
| ldc-runtime-cross-aarch64-1.41.0-r0.apk | 6.8 MiB | 2025-Jun-08 01:16 |
| ldc-runtime-cross-1.41.0-r0.apk | 2.5 KiB | 2025-Jun-08 01:16 |
| ldapdomaindump-pyc-0.10.0-r0.apk | 30.4 KiB | 2025-Jul-31 04:18 |
| ldapdomaindump-0.10.0-r0.apk | 18.2 KiB | 2025-Jul-31 04:18 |
| lcalc-libs-2.1.0-r0.apk | 232.5 KiB | 2025-May-03 17:31 |
| lcalc-doc-2.1.0-r0.apk | 436.8 KiB | 2025-May-03 17:31 |
| lcalc-dev-2.1.0-r0.apk | 51.4 KiB | 2025-May-03 17:31 |
| lcalc-2.1.0-r0.apk | 177.6 KiB | 2025-May-03 17:31 |
| lbb-doc-0.10.4-r1.apk | 20.3 KiB | 2025-Dec-06 01:44 |
| lbb-0.10.4-r1.apk | 3.1 MiB | 2025-Dec-06 01:44 |
| laze-zsh-completion-0.1.38-r0.apk | 3.7 KiB | 2025-Jul-21 05:24 |
| laze-fish-completion-0.1.38-r0.apk | 3.4 KiB | 2025-Jul-21 05:24 |
| laze-doc-0.1.38-r0.apk | 3.6 KiB | 2025-Jul-21 05:24 |
| laze-bash-completion-0.1.38-r0.apk | 3.1 KiB | 2025-Jul-21 05:24 |
| laze-0.1.38-r0.apk | 1.1 MiB | 2025-Jul-21 05:24 |
| lavacli-pyc-2.4-r0.apk | 88.2 KiB | 2025-Jun-15 12:45 |
| lavacli-doc-2.4-r0.apk | 34.2 KiB | 2025-Jun-15 12:45 |
| lavacli-2.4-r0.apk | 49.3 KiB | 2025-Jun-15 12:45 |
| kubesplit-pyc-0.3.3-r1.apk | 12.9 KiB | 2024-Oct-26 05:45 |
| kubesplit-0.3.3-r1.apk | 12.6 KiB | 2024-Oct-26 05:45 |
| kubeseal-doc-0.32.1-r2.apk | 5.5 KiB | 2025-Dec-06 01:44 |
| kubeseal-0.32.1-r2.apk | 10.8 MiB | 2025-Dec-06 01:44 |
| kubepug-zsh-completion-1.7.1-r15.apk | 4.1 KiB | 2025-Dec-06 01:43 |
| kubepug-fish-completion-1.7.1-r15.apk | 4.3 KiB | 2025-Dec-06 01:43 |
| kubepug-bash-completion-1.7.1-r15.apk | 5.1 KiB | 2025-Dec-06 01:43 |
| kubepug-1.7.1-r15.apk | 15.7 MiB | 2025-Dec-06 01:43 |
| kubectl-oidc_login-1.34.2-r1.apk | 5.4 MiB | 2025-Dec-06 01:43 |
| kubeconform-0.7.0-r3.apk | 3.6 MiB | 2025-Dec-06 01:43 |
| kube-no-trouble-0.7.3-r10.apk | 12.8 MiB | 2025-Dec-06 01:43 |
| ktx-libs-4.3.2-r1.apk | 1.5 MiB | 2025-Jun-10 11:49 |
| ktx-dev-4.3.2-r1.apk | 28.9 KiB | 2025-Jun-10 11:49 |
| ktx-4.3.2-r1.apk | 1.3 MiB | 2025-Jun-10 11:49 |
| kronosnet-doc-1.32-r0.apk | 108.0 KiB | 2025-Dec-27 20:08 |
| kronosnet-dev-1.32-r0.apk | 3.8 MiB | 2025-Dec-27 20:08 |
| kronosnet-1.32-r0.apk | 84.3 KiB | 2025-Dec-27 20:08 |
| krita-plugin-gmic-3.2.4.1-r3.apk | 2.7 MiB | 2024-Oct-26 05:45 |
| kotlin-language-server-1.3.13-r0.apk | 83.3 MiB | 2025-Mar-29 18:54 |
| kopia-zsh-completion-0.21.1-r4.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| kopia-bash-completion-0.21.1-r4.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| kopia-0.21.1-r4.apk | 15.6 MiB | 2025-Dec-06 01:43 |
| kontainer-1.0.1-r0.apk | 192.0 KiB | 2025-Jun-17 11:33 |
| kondo-zsh-completion-0.8-r0.apk | 2.4 KiB | 2024-Oct-26 05:45 |
| kondo-fish-completion-0.8-r0.apk | 2.1 KiB | 2024-Oct-26 05:45 |
| kondo-bash-completion-0.8-r0.apk | 2.1 KiB | 2024-Oct-26 05:45 |
| kondo-0.8-r0.apk | 704.4 KiB | 2024-Oct-26 05:45 |
| kompose-zsh-completion-1.31.2-r15.apk | 6.8 KiB | 2025-Dec-06 01:43 |
| kompose-fish-completion-1.31.2-r15.apk | 4.4 KiB | 2025-Dec-06 01:43 |
| kompose-bash-completion-1.31.2-r15.apk | 5.6 KiB | 2025-Dec-06 01:43 |
| kompose-1.31.2-r15.apk | 6.9 MiB | 2025-Dec-06 01:43 |
| komikku-pyc-1.85.0-r0.apk | 797.6 KiB | 2025-Aug-22 17:07 |
| komikku-lang-1.85.0-r0.apk | 284.3 KiB | 2025-Aug-22 17:07 |
| komikku-1.85.0-r0.apk | 444.1 KiB | 2025-Aug-22 17:07 |
| kodaskanna-lang-0.2.2-r0.apk | 23.2 KiB | 2025-Jan-20 17:17 |
| kodaskanna-0.2.2-r0.apk | 53.8 KiB | 2025-Jan-20 17:17 |
| ko-zsh-completion-0.17.1-r10.apk | 4.0 KiB | 2025-Dec-06 01:43 |
| ko-fish-completion-0.17.1-r10.apk | 4.3 KiB | 2025-Dec-06 01:43 |
| ko-bash-completion-0.17.1-r10.apk | 5.0 KiB | 2025-Dec-06 01:43 |
| ko-0.17.1-r10.apk | 10.1 MiB | 2025-Dec-06 01:43 |
| knxd-dev-0.14.61-r1.apk | 34.8 KiB | 2024-Dec-15 06:14 |
| knxd-0.14.61-r1.apk | 411.7 KiB | 2024-Dec-15 06:14 |
| knative-client-zsh-completion-1.19.6-r1.apk | 4.0 KiB | 2025-Dec-06 01:43 |
| knative-client-fish-completion-1.19.6-r1.apk | 4.3 KiB | 2025-Dec-06 01:43 |
| knative-client-bash-completion-1.19.6-r1.apk | 10.2 KiB | 2025-Dec-06 01:43 |
| knative-client-1.19.6-r1.apk | 22.4 MiB | 2025-Dec-06 01:43 |
| kmscon-systemd-9.1.0-r1.apk | 2.7 KiB | 2025-Oct-30 20:37 |
| kmscon-doc-9.1.0-r1.apk | 8.4 KiB | 2025-Oct-30 20:37 |
| kmscon-9.1.0-r1.apk | 848.3 KiB | 2025-Oct-30 20:37 |
| klong-20221212-r0.apk | 333.3 KiB | 2025-Jun-08 00:21 |
| klevernotes-lang-1.1.0-r0.apk | 142.1 KiB | 2025-Jan-24 01:33 |
| klevernotes-1.1.0-r0.apk | 2.1 MiB | 2025-Jan-24 01:33 |
| kjv-0_git20221103-r0.apk | 1.5 MiB | 2024-Oct-26 05:45 |
| kismet-nxp-kw41z-0.202509.1-r0.apk | 47.3 KiB | 2025-Oct-09 13:43 |
| kismet-nrf-51822-0.202509.1-r0.apk | 45.9 KiB | 2025-Oct-09 13:43 |
| kismet-logtools-0.202509.1-r0.apk | 1.2 MiB | 2025-Oct-09 13:43 |
| kismet-linux-wifi-0.202509.1-r0.apk | 66.7 KiB | 2025-Oct-09 13:43 |
| kismet-linux-bluetooth-0.202509.1-r0.apk | 47.8 KiB | 2025-Oct-09 13:43 |
| kismet-0.202509.1-r0.apk | 12.5 MiB | 2025-Oct-09 13:43 |
| kirc-doc-0.3.3-r0.apk | 2.8 KiB | 2025-Feb-22 20:09 |
| kirc-0.3.3-r0.apk | 15.1 KiB | 2025-Feb-22 20:09 |
| kine-doc-0.10.1-r18.apk | 5.2 KiB | 2025-Dec-06 01:43 |
| kine-0.10.1-r18.apk | 7.7 MiB | 2025-Dec-06 01:43 |
| kimchi-pyc-3.0.0-r8.apk | 476.1 KiB | 2025-Feb-12 23:29 |
| kimchi-lang-3.0.0-r8.apk | 171.9 KiB | 2025-Feb-12 23:29 |
| kimchi-3.0.0-r8.apk | 528.9 KiB | 2025-Feb-12 23:29 |
| khronos-lang-4.0.1-r0.apk | 25.7 KiB | 2024-Oct-26 05:45 |
| khronos-4.0.1-r0.apk | 55.4 KiB | 2024-Oct-26 05:45 |
| khinsider-2.0.7-r25.apk | 3.4 MiB | 2025-Dec-06 01:43 |
| kfc-0.1.4-r0.apk | 57.2 KiB | 2024-Oct-26 05:45 |
| keydb-openrc-6.3.4-r0.apk | 2.6 KiB | 2024-Oct-26 05:45 |
| keydb-cli-6.3.4-r0.apk | 391.1 KiB | 2024-Oct-26 05:45 |
| keydb-benchmark-6.3.4-r0.apk | 443.7 KiB | 2024-Oct-26 05:45 |
| keydb-6.3.4-r0.apk | 1.1 MiB | 2024-Oct-26 05:45 |
| keybase-client-6.2.8-r15.apk | 17.7 MiB | 2025-Dec-06 01:43 |
| kew-doc-3.6.4-r0.apk | 3.6 KiB | 2025-Oct-21 14:32 |
| kew-3.6.4-r0.apk | 582.0 KiB | 2025-Oct-21 14:32 |
| kerberoast-pyc-0.2.0-r2.apk | 15.1 KiB | 2025-May-29 21:04 |
| kerberoast-0.2.0-r2.apk | 9.3 KiB | 2025-May-29 21:04 |
| keepsecret-lang-1.0.0-r0.apk | 27.0 KiB | 2025-Dec-16 01:23 |
| keepsecret-1.0.0-r0.apk | 132.6 KiB | 2025-Dec-16 01:23 |
| kdiskmark-lang-3.2.0-r0.apk | 31.8 KiB | 2025-Jul-01 01:46 |
| kdiskmark-3.2.0-r0.apk | 168.1 KiB | 2025-Jul-01 01:46 |
| kcbench-doc-0.9.13-r0.apk | 20.3 KiB | 2025-Oct-24 05:58 |
| kcbench-0.9.13-r0.apk | 37.2 KiB | 2025-Oct-24 05:58 |
| kbs2-zsh-completion-0.7.3-r0.apk | 4.0 KiB | 2025-Jul-30 16:29 |
| kbs2-fish-completion-0.7.3-r0.apk | 3.4 KiB | 2025-Jul-30 16:29 |
| kbs2-bash-completion-0.7.3-r0.apk | 3.2 KiB | 2025-Jul-30 16:29 |
| kbs2-0.7.3-r0.apk | 1.2 MiB | 2025-Jul-30 16:29 |
| katarakt-0.2-r1.apk | 86.0 KiB | 2025-Jan-30 05:18 |
| katana-doc-1.2.2-r3.apk | 2.3 KiB | 2025-Dec-06 01:43 |
| katana-1.2.2-r3.apk | 13.7 MiB | 2025-Dec-06 01:43 |
| kapow-0.7.1-r18.apk | 3.5 MiB | 2025-Dec-06 01:43 |
| kanidm-zsh-completion-1.8.5-r0.apk | 36.8 KiB | 2025-Dec-14 03:58 |
| kanidm-unixd-clients-1.8.5-r0.apk | 7.7 MiB | 2025-Dec-14 03:58 |
| kanidm-server-1.8.5-r0.apk | 13.9 MiB | 2025-Dec-14 03:58 |
| kanidm-openrc-1.8.5-r0.apk | 2.1 KiB | 2025-Dec-14 03:58 |
| kanidm-clients-1.8.5-r0.apk | 3.4 MiB | 2025-Dec-14 03:58 |
| kanidm-bash-completion-1.8.5-r0.apk | 26.5 KiB | 2025-Dec-14 03:58 |
| kanidm-1.8.5-r0.apk | 1.3 KiB | 2025-Dec-14 03:58 |
| kabmat-doc-2.7.0-r0.apk | 3.5 KiB | 2024-Oct-26 05:45 |
| kabmat-2.7.0-r0.apk | 62.5 KiB | 2024-Oct-26 05:45 |
| k3sup-zsh-completion-0.13.6-r10.apk | 4.0 KiB | 2025-Dec-06 01:43 |
| k3sup-fish-completion-0.13.6-r10.apk | 4.3 KiB | 2025-Dec-06 01:43 |
| k3sup-bash-completion-0.13.6-r10.apk | 5.0 KiB | 2025-Dec-06 01:43 |
| k3sup-0.13.6-r10.apk | 2.6 MiB | 2025-Dec-06 01:43 |
| jwt-cli-6.2.0-r0.apk | 850.7 KiB | 2024-Dec-15 03:21 |
| junit2html-pyc-31.0.2-r0.apk | 23.5 KiB | 2024-Oct-26 05:45 |
| junit2html-31.0.2-r0.apk | 16.7 KiB | 2024-Oct-26 05:45 |
| jsonnet-language-server-0.16.0-r1.apk | 4.4 MiB | 2025-Dec-06 01:43 |
| jsonnet-bundler-0.6.0-r10.apk | 3.2 MiB | 2025-Dec-06 01:43 |
| json2tsv-jaq-doc-1.2-r0.apk | 2.3 KiB | 2024-Oct-26 05:45 |
| json2tsv-jaq-1.2-r0.apk | 1.9 KiB | 2024-Oct-26 05:45 |
| json2tsv-doc-1.2-r0.apk | 5.2 KiB | 2024-Oct-26 05:45 |
| json2tsv-1.2-r0.apk | 6.7 KiB | 2024-Oct-26 05:45 |
| jsmn-1.1.0-r2.apk | 4.7 KiB | 2024-Oct-26 05:45 |
| jotdown-0.7.0-r0.apk | 227.0 KiB | 2025-Mar-12 05:13 |
| jhead-doc-3.08-r0.apk | 7.9 KiB | 2024-Oct-26 05:45 |
| jhead-3.08-r0.apk | 33.9 KiB | 2024-Oct-26 05:45 |
| jfrog-cli-2.45.0-r17.apk | 9.0 MiB | 2025-Dec-06 01:43 |
| jedi-language-server-pyc-0.45.1-r0.apk | 49.7 KiB | 2025-Apr-29 07:58 |
| jedi-language-server-0.45.1-r0.apk | 31.8 KiB | 2025-Apr-29 07:58 |
| jdupes-doc-1.28.0-r0.apk | 9.0 KiB | 2024-Oct-26 05:45 |
| jdupes-1.28.0-r0.apk | 27.8 KiB | 2024-Oct-26 05:45 |
| jdebp-redo-doc-1.4-r1.apk | 8.6 KiB | 2024-Oct-26 05:45 |
| jdebp-redo-1.4-r1.apk | 100.4 KiB | 2024-Oct-26 05:45 |
| jbigkit-doc-2.1-r2.apk | 7.3 KiB | 2024-Oct-26 05:45 |
| jbigkit-dev-2.1-r2.apk | 50.9 KiB | 2024-Oct-26 05:45 |
| jbigkit-2.1-r2.apk | 68.9 KiB | 2024-Oct-26 05:45 |
| java-jtreg-7.5_p1-r0.apk | 4.6 MiB | 2025-Jun-05 12:29 |
| java-jtharness-examples-6.0_p12-r0.apk | 218.8 KiB | 2024-Oct-26 05:45 |
| java-jtharness-doc-6.0_p12-r0.apk | 11.5 KiB | 2024-Oct-26 05:45 |
| java-jtharness-6.0_p12-r0.apk | 4.0 MiB | 2024-Oct-26 05:45 |
| java-gdcm-3.2.2-r3.apk | 618.7 KiB | 2025-Dec-02 17:54 |
| java-asmtools-doc-8.0.09-r0.apk | 6.9 KiB | 2024-Oct-26 05:45 |
| java-asmtools-8.0.09-r0.apk | 574.3 KiB | 2024-Oct-26 05:45 |
| jaq-doc-2.3.0-r0.apk | 2.2 KiB | 2025-Jul-20 19:38 |
| jaq-2.3.0-r0.apk | 763.4 KiB | 2025-Jul-20 19:38 |
| jalv-gtk-1.6.8-r1.apk | 33.2 KiB | 2024-Oct-26 05:45 |
| jalv-doc-1.6.8-r1.apk | 3.2 KiB | 2024-Oct-26 05:45 |
| jalv-1.6.8-r1.apk | 50.3 KiB | 2024-Oct-26 05:45 |
| jackdaw-pyc-0.3.1-r2.apk | 364.2 KiB | 2025-May-29 21:04 |
| jackdaw-0.3.1-r2.apk | 2.0 MiB | 2025-May-29 21:04 |
| jackal-openrc-0.64.0-r20.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| jackal-0.64.0-r20.apk | 11.6 MiB | 2025-Dec-06 01:43 |
| jack_capture-0.9.73_git20210429-r2.apk | 30.3 KiB | 2024-Oct-26 05:45 |
| it87-src-1_p20240609-r0.apk | 29.7 KiB | 2024-Oct-26 05:45 |
| isomd5sum-doc-1.2.5-r0.apk | 3.0 KiB | 2025-Jul-16 06:02 |
| isomd5sum-1.2.5-r0.apk | 30.6 KiB | 2025-Jul-16 06:02 |
| isoinfo-0_git20131217-r1.apk | 6.4 KiB | 2024-Oct-26 05:45 |
| ircdog-0.5.4-r10.apk | 2.4 MiB | 2025-Dec-06 01:43 |
| ircd-hybrid-doc-8.2.47-r0.apk | 3.6 KiB | 2025-Apr-05 18:59 |
| ircd-hybrid-8.2.47-r0.apk | 500.3 KiB | 2025-Apr-05 18:59 |
| irccd-openrc-4.0.3-r0.apk | 1.8 KiB | 2024-Oct-26 05:45 |
| irccd-doc-4.0.3-r0.apk | 80.4 KiB | 2024-Oct-26 05:45 |
| irccd-dev-4.0.3-r0.apk | 9.6 KiB | 2024-Oct-26 05:45 |
| irccd-4.0.3-r0.apk | 274.3 KiB | 2024-Oct-26 05:45 |
| iprange-doc-1.0.4-r1.apk | 4.5 KiB | 2024-Oct-26 05:45 |
| iprange-1.0.4-r1.apk | 19.8 KiB | 2024-Oct-26 05:45 |
| ipp-usb-openrc-0.9.30-r5.apk | 1.7 KiB | 2025-Dec-06 01:43 |
| ipp-usb-doc-0.9.30-r5.apk | 8.8 KiB | 2025-Dec-06 01:43 |
| ipp-usb-0.9.30-r5.apk | 2.5 MiB | 2025-Dec-06 01:43 |
| ip2location-doc-8.6.1-r0.apk | 2.7 KiB | 2024-Oct-26 05:45 |
| ip2location-dev-8.6.1-r0.apk | 18.2 KiB | 2024-Oct-26 05:45 |
| ip2location-8.6.1-r0.apk | 26.1 KiB | 2024-Oct-26 05:45 |
| invidtui-0.4.6-r10.apk | 4.0 MiB | 2025-Dec-06 01:43 |
| interception-tools-openrc-0.6.8-r3.apk | 1.7 KiB | 2025-Oct-12 23:20 |
| interception-tools-0.6.8-r3.apk | 103.8 KiB | 2025-Oct-12 23:20 |
| inlyne-zsh-completion-0.5.0-r0.apk | 2.7 KiB | 2025-Dec-22 10:56 |
| inlyne-fish-completion-0.5.0-r0.apk | 2.5 KiB | 2025-Dec-22 10:56 |
| inlyne-doc-0.5.0-r0.apk | 2.3 KiB | 2025-Dec-22 10:56 |
| inlyne-bash-completion-0.5.0-r0.apk | 2.4 KiB | 2025-Dec-22 10:56 |
| inlyne-0.5.0-r0.apk | 6.5 MiB | 2025-Dec-22 10:56 |
| initify-0_git20171210-r1.apk | 3.2 KiB | 2024-Oct-26 05:45 |
| infnoise-openrc-0.3.3-r0.apk | 1.7 KiB | 2025-May-26 17:58 |
| infnoise-doc-0.3.3-r0.apk | 4.1 KiB | 2025-May-26 17:58 |
| infnoise-0.3.3-r0.apk | 14.5 KiB | 2025-May-26 17:58 |
| imrsh-dbg-0_git20210320-r1.apk | 20.2 KiB | 2024-Oct-26 05:45 |
| imrsh-0_git20210320-r1.apk | 8.7 KiB | 2024-Oct-26 05:45 |
| imgdiff-doc-1.0.2-r31.apk | 2.3 KiB | 2025-Dec-06 01:43 |
| imgdiff-1.0.2-r31.apk | 1020.2 KiB | 2025-Dec-06 01:43 |
| imediff-pyc-2.6-r1.apk | 43.6 KiB | 2024-Oct-26 05:45 |
| imediff-doc-2.6-r1.apk | 6.5 KiB | 2024-Oct-26 05:45 |
| imediff-2.6-r1.apk | 41.6 KiB | 2024-Oct-26 05:45 |
| imapgoose-systemd-0.4.1-r1.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| imapgoose-openrc-0.4.1-r1.apk | 1.7 KiB | 2025-Dec-06 01:43 |
| imapgoose-doc-0.4.1-r1.apk | 5.7 KiB | 2025-Dec-06 01:43 |
| imapgoose-0.4.1-r1.apk | 2.4 MiB | 2025-Dec-06 01:43 |
| imapfilter-doc-2.8.2-r0.apk | 12.8 KiB | 2024-Oct-26 05:45 |
| imapfilter-2.8.2-r0.apk | 41.0 KiB | 2024-Oct-26 05:45 |
| ijq-doc-1.2.0-r2.apk | 3.6 KiB | 2025-Dec-06 01:43 |
| ijq-1.2.0-r2.apk | 1.4 MiB | 2025-Dec-06 01:43 |
| ifuse-doc-1.1.4-r5.apk | 2.3 KiB | 2024-Oct-31 07:44 |
| ifuse-1.1.4-r5.apk | 9.9 KiB | 2024-Oct-31 07:44 |
| idevicerestore-doc-1.0.0_git20250914-r0.apk | 3.4 KiB | 2025-Oct-07 02:33 |
| idevicerestore-1.0.0_git20250914-r0.apk | 98.2 KiB | 2025-Oct-07 02:33 |
| ideviceinstaller-doc-1.1.1-r4.apk | 2.5 KiB | 2024-Oct-31 07:44 |
| ideviceinstaller-1.1.1-r4.apk | 13.6 KiB | 2024-Oct-31 07:44 |
| idesk-1-r1.apk | 72.6 KiB | 2024-Oct-26 05:45 |
| identme-0.6.0-r0.apk | 48.0 KiB | 2025-Apr-03 21:33 |
| identities-0.2.2-r0.apk | 17.0 KiB | 2025-Oct-19 00:41 |
| icingaweb2-module-pnp-doc-1.1.0-r1.apk | 1.8 KiB | 2024-Oct-26 05:45 |
| icingaweb2-module-pnp-1.1.0-r1.apk | 9.1 KiB | 2024-Oct-26 05:45 |
| icingaweb2-module-generictts-doc-2.1.0-r0.apk | 1.8 KiB | 2024-Oct-26 05:45 |
| icingaweb2-module-generictts-2.1.0-r0.apk | 6.6 KiB | 2024-Oct-26 05:45 |
| icingaweb2-module-fileshipper-doc-1.2.0-r3.apk | 230.8 KiB | 2024-Oct-26 05:45 |
| icingaweb2-module-fileshipper-1.2.0-r3.apk | 10.8 KiB | 2024-Oct-26 05:45 |
| icingaweb2-module-businessprocess-doc-2.5.2-r0.apk | 2.0 MiB | 2025-Aug-14 00:54 |
| icingaweb2-module-businessprocess-2.5.2-r0.apk | 110.0 KiB | 2025-Aug-14 00:54 |
| icestorm-0_git20240517-r0.apk | 16.5 MiB | 2024-Oct-26 05:45 |
| icesprog-udev-0_git20240108-r1.apk | 1.9 KiB | 2024-Oct-26 05:45 |
| icesprog-0_git20240108-r1.apk | 9.2 KiB | 2024-Oct-26 05:45 |
| ibus-typing-booster-pyc-2.29.0-r0.apk | 1.5 MiB | 2025-Dec-17 03:42 |
| ibus-typing-booster-lang-2.29.0-r0.apk | 316.9 KiB | 2025-Dec-17 03:42 |
| ibus-typing-booster-2.29.0-r0.apk | 12.7 MiB | 2025-Dec-17 03:42 |
| i3status-rust-doc-0.34.0-r0.apk | 33.1 KiB | 2025-Jul-19 18:18 |
| i3status-rust-0.34.0-r0.apk | 4.2 MiB | 2025-Jul-19 18:18 |
| i3bar-river-systemd-1.1.0-r1.apk | 1.9 KiB | 2025-Oct-30 07:53 |
| i3bar-river-openrc-1.1.0-r1.apk | 1.7 KiB | 2025-Oct-30 07:53 |
| i3bar-river-1.1.0-r1.apk | 513.8 KiB | 2025-Oct-30 07:53 |
| i2util-doc-4.2.1-r1.apk | 4.7 KiB | 2024-Oct-26 05:45 |
| i2util-dev-4.2.1-r1.apk | 65.7 KiB | 2024-Oct-26 05:45 |
| i2util-4.2.1-r1.apk | 22.5 KiB | 2024-Oct-26 05:45 |
| hyx-doc-2024.02.29-r0.apk | 2.2 KiB | 2024-Oct-26 05:45 |
| hyx-2024.02.29-r0.apk | 17.5 KiB | 2024-Oct-26 05:45 |
| hyprsunset-openrc-0.3.3-r1.apk | 1.8 KiB | 2025-Dec-14 20:00 |
| hyprsunset-doc-0.3.3-r1.apk | 2.4 KiB | 2025-Dec-14 20:00 |
| hyprsunset-0.3.3-r1.apk | 135.9 KiB | 2025-Dec-14 20:00 |
| hyprpicker-doc-0.4.5-r1.apk | 3.8 KiB | 2025-Dec-14 20:00 |
| hyprpicker-0.4.5-r1.apk | 114.8 KiB | 2025-Dec-14 20:00 |
| hyprlock-0.9.2-r0.apk | 431.2 KiB | 2025-Dec-14 20:00 |
| hypridle-openrc-0.1.7-r1.apk | 1.7 KiB | 2025-Dec-14 20:00 |
| hypridle-doc-0.1.7-r1.apk | 2.4 KiB | 2025-Dec-14 20:00 |
| hypridle-0.1.7-r1.apk | 135.7 KiB | 2025-Dec-14 20:00 |
| hypnotix-lang-3.5-r0.apk | 72.4 KiB | 2024-Oct-26 05:45 |
| hypnotix-3.5-r0.apk | 110.0 KiB | 2024-Oct-26 05:45 |
| hyperrogue-doc-13.1i-r0.apk | 8.0 KiB | 2025-Dec-19 12:53 |
| hyperrogue-13.1i-r0.apk | 83.8 MiB | 2025-Dec-19 12:53 |
| hyfetch-zsh-completion-2.0.5-r0.apk | 2.6 KiB | 2025-Nov-22 02:34 |
| hyfetch-doc-2.0.5-r0.apk | 19.6 KiB | 2025-Nov-22 02:34 |
| hyfetch-bash-completion-2.0.5-r0.apk | 3.3 KiB | 2025-Nov-22 02:34 |
| hyfetch-2.0.5-r0.apk | 848.0 KiB | 2025-Nov-22 02:34 |
| hx-doc-1.0.15-r0.apk | 4.8 KiB | 2024-Oct-26 05:45 |
| hx-1.0.15-r0.apk | 15.0 KiB | 2024-Oct-26 05:45 |
| hw-probe-1.6.6-r2.apk | 123.8 KiB | 2025-Jun-30 16:50 |
| hurl-zsh-completion-7.1.0-r0.apk | 4.1 KiB | 2025-Dec-06 03:42 |
| hurl-fish-completion-7.1.0-r0.apk | 3.6 KiB | 2025-Dec-06 03:42 |
| hurl-doc-7.1.0-r0.apk | 9.2 KiB | 2025-Dec-06 03:42 |
| hurl-bash-completion-7.1.0-r0.apk | 2.3 KiB | 2025-Dec-06 03:42 |
| hurl-7.1.0-r0.apk | 2.3 MiB | 2025-Dec-06 03:42 |
| hunspell-fr-doc-7.7-r0.apk | 2.9 KiB | 2025-Dec-18 05:52 |
| hunspell-fr-7.7-r0.apk | 1003.3 KiB | 2025-Dec-18 05:52 |
| hunspell-ca-es-3.0.7-r0.apk | 734.3 KiB | 2024-Oct-26 05:45 |
| hubble-cli-zsh-completion-0.13.6-r10.apk | 4.1 KiB | 2025-Dec-06 01:43 |
| hubble-cli-fish-completion-0.13.6-r10.apk | 4.3 KiB | 2025-Dec-06 01:43 |
| hubble-cli-bash-completion-0.13.6-r10.apk | 5.1 KiB | 2025-Dec-06 01:43 |
| hubble-cli-0.13.6-r10.apk | 17.0 MiB | 2025-Dec-06 01:43 |
| hub-zsh-completion-2.14.2-r36.apk | 3.7 KiB | 2025-Dec-06 01:43 |
| hub-fish-completion-2.14.2-r36.apk | 3.3 KiB | 2025-Dec-06 01:43 |
| hub-doc-2.14.2-r36.apk | 42.0 KiB | 2025-Dec-06 01:43 |
| hub-bash-completion-2.14.2-r36.apk | 4.6 KiB | 2025-Dec-06 01:43 |
| hub-2.14.2-r36.apk | 2.8 MiB | 2025-Dec-06 01:43 |
| httrack-doc-3.49.2-r5.apk | 527.9 KiB | 2024-Oct-26 05:45 |
| httrack-3.49.2-r5.apk | 751.7 KiB | 2024-Oct-26 05:45 |
| httpx-doc-1.7.4-r0.apk | 2.3 KiB | 2025-Dec-10 08:38 |
| httpx-1.7.4-r0.apk | 16.9 MiB | 2025-Dec-10 08:38 |
| httplz-doc-2.2.0-r0.apk | 2.3 KiB | 2025-May-19 00:39 |
| httplz-2.2.0-r0.apk | 1.3 MiB | 2025-May-19 00:39 |
| httpie-oauth-pyc-1.0.2-r9.apk | 2.3 KiB | 2024-Oct-26 05:45 |
| httpie-oauth-1.0.2-r9.apk | 3.3 KiB | 2024-Oct-26 05:45 |
| htmlcxx-dev-0.87-r1.apk | 20.6 KiB | 2024-Oct-26 05:45 |
| htmlcxx-0.87-r1.apk | 66.5 KiB | 2024-Oct-26 05:45 |
| hstdb-2.1.0-r2.apk | 947.4 KiB | 2024-Oct-26 05:45 |
| hsetroot-1.0.5-r1.apk | 10.2 KiB | 2024-Oct-26 05:45 |
| hpnssh-doc-18.8.0-r0.apk | 101.5 KiB | 2025-Nov-29 04:41 |
| hpnssh-18.8.0-r0.apk | 2.8 MiB | 2025-Nov-29 04:41 |
| hping3-doc-20051105-r4.apk | 16.9 KiB | 2024-Oct-26 05:45 |
| hping3-20051105-r4.apk | 78.8 KiB | 2024-Oct-26 05:45 |
| horizon-tools-0.9.6-r9.apk | 80.0 KiB | 2024-Oct-26 05:45 |
| horizon-image-0.9.6-r9.apk | 66.6 KiB | 2024-Oct-26 05:45 |
| horizon-doc-0.9.6-r9.apk | 21.4 KiB | 2024-Oct-26 05:45 |
| horizon-dev-0.9.6-r9.apk | 4.9 KiB | 2024-Oct-26 05:45 |
| horizon-dbg-0.9.6-r9.apk | 4.1 MiB | 2024-Oct-26 05:45 |
| horizon-0.9.6-r9.apk | 202.8 KiB | 2024-Oct-26 05:45 |
| homebank-lang-5.9.5-r0.apk | 942.5 KiB | 2025-Oct-14 23:57 |
| homebank-5.9.5-r0.apk | 2.0 MiB | 2025-Oct-14 23:57 |
| hiprompt-gtk-py-0.8.0-r1.apk | 8.0 KiB | 2025-Sep-17 21:33 |
| himitsu-secret-service-pyc-0.1_git20250705-r1.apk | 28.6 KiB | 2025-Oct-20 22:50 |
| himitsu-secret-service-doc-0.1_git20250705-r1.apk | 4.0 KiB | 2025-Oct-20 22:50 |
| himitsu-secret-service-0.1_git20250705-r1.apk | 15.8 KiB | 2025-Oct-20 22:50 |
| hilbish-doc-2.3.4-r10.apk | 24.9 KiB | 2025-Dec-06 01:43 |
| hilbish-2.3.4-r10.apk | 3.5 MiB | 2025-Dec-06 01:43 |
| highfive-2.10.1-r0.apk | 75.5 KiB | 2025-Jan-15 11:52 |
| highctidh-dev-1.0.2024092800-r0.apk | 364.7 KiB | 2024-Nov-26 04:57 |
| highctidh-1.0.2024092800-r0.apk | 330.7 KiB | 2024-Nov-26 04:57 |
| hidrd-dev-0.2.0_git20190603-r1.apk | 170.0 KiB | 2024-Oct-26 05:45 |
| hidrd-0.2.0_git20190603-r1.apk | 74.6 KiB | 2024-Oct-26 05:45 |
| hiawatha-openrc-11.6-r1.apk | 1.7 KiB | 2025-May-27 20:09 |
| hiawatha-letsencrypt-11.6-r1.apk | 17.2 KiB | 2025-May-27 20:09 |
| hiawatha-doc-11.6-r1.apk | 21.0 KiB | 2025-May-27 20:09 |
| hiawatha-11.6-r1.apk | 196.6 KiB | 2025-May-27 20:09 |
| hfst-libs-3.16.2-r0.apk | 1.9 MiB | 2025-Mar-29 18:54 |
| hfst-doc-3.16.2-r0.apk | 69.7 KiB | 2025-Mar-29 18:54 |
| hfst-dev-3.16.2-r0.apk | 209.0 KiB | 2025-Mar-29 18:54 |
| hfst-3.16.2-r0.apk | 1.4 MiB | 2025-Mar-29 18:54 |
| hexedit-doc-1.6_git20230905-r0.apk | 5.6 KiB | 2024-Oct-26 05:45 |
| hexedit-1.6_git20230905-r0.apk | 17.0 KiB | 2024-Oct-26 05:45 |
| herbe-1.0.0-r0.apk | 5.5 KiB | 2024-Oct-26 05:45 |
| helmfile-zsh-completion-1.1.8-r1.apk | 4.0 KiB | 2025-Dec-06 01:43 |
| helmfile-fish-completion-1.1.8-r1.apk | 4.3 KiB | 2025-Dec-06 01:43 |
| helmfile-doc-1.1.8-r1.apk | 2.3 KiB | 2025-Dec-06 01:43 |
| helmfile-bash-completion-1.1.8-r1.apk | 6.1 KiB | 2025-Dec-06 01:43 |
| helmfile-1.1.8-r1.apk | 57.4 MiB | 2025-Dec-06 01:43 |
| helm-unittest-1.0.3-r1.apk | 11.4 MiB | 2025-Dec-06 01:43 |
| helm-mapkubeapis-0.6.1-r1.apk | 20.3 MiB | 2025-Dec-06 01:43 |
| helm-ls-doc-0.5.4-r1.apk | 2.3 KiB | 2025-Dec-06 01:43 |
| helm-ls-0.5.4-r1.apk | 17.7 MiB | 2025-Dec-06 01:43 |
| helm-diff-3.13.1-r1.apk | 20.8 MiB | 2025-Dec-06 01:43 |
| heisenbridge-pyc-1.15.4-r0.apk | 155.0 KiB | 2025-Oct-06 14:07 |
| heisenbridge-1.15.4-r0.apk | 67.2 KiB | 2025-Oct-06 14:07 |
| hdf4-tools-4.2.15-r2.apk | 193.9 KiB | 2024-Oct-26 05:45 |
| hdf4-doc-4.2.15-r2.apk | 6.0 KiB | 2024-Oct-26 05:45 |
| hdf4-dev-4.2.15-r2.apk | 100.8 KiB | 2024-Oct-26 05:45 |
| hdf4-4.2.15-r2.apk | 258.3 KiB | 2024-Oct-26 05:45 |
| hddfancontrol-pyc-1.6.2-r0.apk | 34.0 KiB | 2024-Oct-26 05:45 |
| hddfancontrol-openrc-1.6.2-r0.apk | 2.2 KiB | 2024-Oct-26 05:45 |
| hddfancontrol-1.6.2-r0.apk | 32.8 KiB | 2024-Oct-26 05:45 |
| hctl-0.2.7-r0.apk | 1.3 MiB | 2025-May-14 09:06 |
| hatop-doc-0.8.2-r0.apk | 3.0 KiB | 2024-Oct-26 05:45 |
| hatop-0.8.2-r0.apk | 17.9 KiB | 2024-Oct-26 05:45 |
| hatch-pyc-1.16.1-r0.apk | 246.5 KiB | 2025-Dec-01 05:01 |
| hatch-1.16.1-r0.apk | 114.8 KiB | 2025-Dec-01 05:01 |
| hashcat-doc-6.2.6-r0.apk | 2.1 MiB | 2024-Oct-26 05:45 |
| hashcat-6.2.6-r0.apk | 63.9 MiB | 2024-Oct-26 05:45 |
| harminv-libs-1.4.2-r1.apk | 28.9 KiB | 2024-Oct-26 05:44 |
| harminv-doc-1.4.2-r1.apk | 5.6 KiB | 2024-Oct-26 05:44 |
| harminv-dev-1.4.2-r1.apk | 3.1 KiB | 2024-Oct-26 05:44 |
| harminv-1.4.2-r1.apk | 7.6 KiB | 2024-Oct-26 05:44 |
| handlebars-utils-1.0.0-r1.apk | 9.6 KiB | 2024-Oct-26 05:44 |
| handlebars-dev-1.0.0-r1.apk | 32.4 KiB | 2024-Oct-26 05:44 |
| handlebars-1.0.0-r1.apk | 103.8 KiB | 2024-Oct-26 05:44 |
| hamster-time-tracker-pyc-3.0.3-r2.apk | 357.9 KiB | 2024-Oct-26 05:44 |
| hamster-time-tracker-lang-3.0.3-r2.apk | 205.7 KiB | 2024-Oct-26 05:44 |
| hamster-time-tracker-doc-3.0.3-r2.apk | 116.2 KiB | 2024-Oct-26 05:44 |
| hamster-time-tracker-bash-completion-3.0.3-r2.apk | 2.0 KiB | 2024-Oct-26 05:44 |
| hamster-time-tracker-3.0.3-r2.apk | 155.8 KiB | 2024-Oct-26 05:44 |
| halp-zsh-completion-0.2.0-r0.apk | 2.4 KiB | 2024-Oct-26 05:44 |
| halp-fish-completion-0.2.0-r0.apk | 2.0 KiB | 2024-Oct-26 05:44 |
| halp-doc-0.2.0-r0.apk | 6.9 KiB | 2024-Oct-26 05:44 |
| halp-bash-completion-0.2.0-r0.apk | 2.2 KiB | 2024-Oct-26 05:44 |
| halp-0.2.0-r0.apk | 940.7 KiB | 2024-Oct-26 05:44 |
| h4h5tools-static-2.2.5-r4.apk | 183.7 KiB | 2024-Oct-26 05:44 |
| h4h5tools-doc-2.2.5-r4.apk | 2.7 KiB | 2024-Oct-26 05:44 |
| h4h5tools-dev-2.2.5-r4.apk | 8.8 KiB | 2024-Oct-26 05:44 |
| h4h5tools-2.2.5-r4.apk | 106.9 KiB | 2024-Oct-26 05:44 |
| gyosu-0.2.0-r3.apk | 1.9 MiB | 2025-Dec-06 01:43 |
| gx-go-doc-1.9.0-r37.apk | 2.3 KiB | 2025-Dec-06 01:43 |
| gx-go-1.9.0-r37.apk | 4.8 MiB | 2025-Dec-06 01:43 |
| gx-doc-0.14.3-r35.apk | 2.3 KiB | 2025-Dec-06 01:43 |
| gx-0.14.3-r35.apk | 4.6 MiB | 2025-Dec-06 01:43 |
| gupnp-doc-1.6.9-r1.apk | 3.8 KiB | 2025-Aug-09 12:10 |
| gupnp-dlna-dev-0.12.0-r1.apk | 24.3 KiB | 2025-Aug-09 12:10 |
| gupnp-dlna-0.12.0-r1.apk | 68.3 KiB | 2025-Aug-09 12:10 |
| gupnp-dev-1.6.9-r1.apk | 50.4 KiB | 2025-Aug-09 12:10 |
| gupnp-av-dev-0.14.4-r1.apk | 41.6 KiB | 2025-Aug-09 12:10 |
| gupnp-av-0.14.4-r1.apk | 78.0 KiB | 2025-Aug-09 12:10 |
| gupnp-1.6.9-r1.apk | 87.5 KiB | 2025-Aug-09 12:10 |
| gufw-pyc-24.04-r3.apk | 64.6 KiB | 2024-Nov-20 09:36 |
| gufw-lang-24.04-r3.apk | 854.6 KiB | 2024-Nov-20 09:36 |
| gufw-doc-24.04-r3.apk | 4.5 KiB | 2024-Nov-20 09:36 |
| gufw-24.04-r3.apk | 596.5 KiB | 2024-Nov-20 09:36 |
| guetzli-dev-0_git20191025-r2.apk | 5.2 MiB | 2025-May-25 16:51 |
| guetzli-0_git20191025-r2.apk | 157.6 KiB | 2025-May-25 16:51 |
| guake-pyc-3.10.1-r0.apk | 186.2 KiB | 2025-Nov-25 03:08 |
| guake-lang-3.10.1-r0.apk | 194.3 KiB | 2025-Nov-25 03:08 |
| guake-3.10.1-r0.apk | 304.5 KiB | 2025-Nov-25 03:08 |
| gtranslator-lang-49.0-r0.apk | 593.0 KiB | 2025-Sep-15 16:46 |
| gtranslator-doc-49.0-r0.apk | 637.5 KiB | 2025-Sep-15 16:46 |
| gtranslator-49.0-r0.apk | 139.2 KiB | 2025-Sep-15 16:46 |
| gtkwave-doc-3.3.120-r0.apk | 27.1 KiB | 2024-Oct-26 05:44 |
| gtkwave-3.3.120-r0.apk | 2.6 MiB | 2024-Oct-26 05:44 |
| gtklock-doc-4.0.0-r0.apk | 3.0 KiB | 2025-Feb-01 01:17 |
| gtklock-4.0.0-r0.apk | 19.0 KiB | 2025-Feb-01 01:17 |
| gtkhash-lang-1.5-r0.apk | 46.6 KiB | 2024-Oct-26 05:44 |
| gtkhash-1.5-r0.apk | 86.2 KiB | 2024-Oct-26 05:44 |
| gtk-session-lock-dev-0.2.0-r0.apk | 5.3 KiB | 2025-Feb-01 01:17 |
| gtk-session-lock-0.2.0-r0.apk | 35.1 KiB | 2025-Feb-01 01:17 |
| gtimelog-pyc-0.12_git20251114-r0.apk | 102.3 KiB | 2025-Nov-19 06:18 |
| gtimelog-0.12_git20251114-r0.apk | 219.3 KiB | 2025-Nov-19 06:18 |
| gstreamermm-dev-1.10.0-r6.apk | 309.7 KiB | 2025-Feb-17 21:08 |
| gstreamermm-1.10.0-r6.apk | 479.3 KiB | 2025-Feb-17 21:08 |
| gst-video-thumbnailer-1.0_alpha1-r2.apk | 285.0 KiB | 2025-Dec-21 04:25 |
| gst-thumbnailers-1.0_alpha1-r2.apk | 1.3 KiB | 2025-Dec-21 04:25 |
| gst-audio-thumbnailer-1.0_alpha1-r2.apk | 269.7 KiB | 2025-Dec-21 04:25 |
| gssdp-dev-1.6.4-r1.apk | 15.5 KiB | 2025-Aug-09 12:10 |
| gssdp-1.6.4-r1.apk | 45.8 KiB | 2025-Aug-09 12:10 |
| gsimplecal-doc-2.5.2-r0.apk | 5.8 KiB | 2025-Oct-17 03:48 |
| gsimplecal-2.5.2-r0.apk | 16.2 KiB | 2025-Oct-17 03:48 |
| gsettings-qt-dev-1.1.0-r0.apk | 3.6 KiB | 2025-Dec-09 20:17 |
| gsettings-qt-1.1.0-r0.apk | 30.0 KiB | 2025-Dec-09 20:17 |
| grpcurl-1.9.3-r8.apk | 8.0 MiB | 2025-Dec-06 01:43 |
| grpcui-1.5.1-r4.apk | 8.4 MiB | 2025-Dec-06 01:43 |
| grip-lang-4.2.4-r0.apk | 144.2 KiB | 2024-Oct-26 05:44 |
| grip-doc-4.2.4-r0.apk | 6.2 KiB | 2024-Oct-26 05:44 |
| grip-4.2.4-r0.apk | 380.2 KiB | 2024-Oct-26 05:44 |
| greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3.3 KiB | 2024-Oct-26 05:44 |
| greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk | 2.2 KiB | 2024-Oct-26 05:44 |
| greetd-mini-wl-greeter-0_git20230821-r0.apk | 19.9 KiB | 2024-Oct-26 05:44 |
| gradia-lang-1.9.0-r0.apk | 55.5 KiB | 2025-Sep-05 16:32 |
| gradia-dev-1.9.0-r0.apk | 2.5 KiB | 2025-Sep-05 16:32 |
| gradia-1.9.0-r0.apk | 532.0 KiB | 2025-Sep-05 16:32 |
| gpscorrelate-lang-2.3-r0.apk | 17.4 KiB | 2025-Mar-27 15:36 |
| gpscorrelate-doc-2.3-r0.apk | 285.0 KiB | 2025-Mar-27 15:36 |
| gpscorrelate-cli-2.3-r0.apk | 24.8 KiB | 2025-Mar-27 15:36 |
| gpscorrelate-2.3-r0.apk | 49.0 KiB | 2025-Mar-27 15:36 |
| gpsbabel-lang-1.10.0-r0.apk | 88.0 KiB | 2025-Nov-06 22:14 |
| gpsbabel-1.10.0-r0.apk | 1.5 MiB | 2025-Nov-06 22:14 |
| gprbuild-25.0.0-r0.apk | 12.3 MiB | 2025-Oct-30 05:54 |
| goxel-0.15.1-r0.apk | 1.8 MiB | 2024-Oct-26 05:44 |
| gotify-cli-2.3.2-r10.apk | 4.2 MiB | 2025-Dec-06 01:43 |
| goshs-doc-1.1.3-r0.apk | 2.3 KiB | 2025-Dec-19 06:15 |
| goshs-1.1.3-r0.apk | 6.2 MiB | 2025-Dec-19 06:15 |
| goreman-0.3.15-r18.apk | 2.4 MiB | 2025-Dec-06 01:43 |
| goomwwm-1.0.0-r5.apk | 48.2 KiB | 2024-Oct-26 05:44 |
| gomp-1.0.0-r17.apk | 3.5 MiB | 2025-Dec-06 01:43 |
| godini-doc-1.0.0-r5.apk | 14.8 KiB | 2025-Dec-06 01:43 |
| godini-1.0.0-r5.apk | 1.5 MiB | 2025-Dec-06 01:43 |
| gobuster-3.8.0-r3.apk | 3.6 MiB | 2025-Dec-06 01:43 |
| go-tools-0.40.0-r0.apk | 44.6 MiB | 2025-Dec-13 00:18 |
| go-passbolt-cli-0.3.2-r8.apk | 6.0 MiB | 2025-Dec-06 01:43 |
| go-mtpfs-1.0.0-r32.apk | 1.2 MiB | 2025-Dec-06 01:43 |
| go-jsonnet-0.21.0-r5.apk | 6.5 MiB | 2025-Dec-06 01:43 |
| go-away-openrc-0.7.0-r1.apk | 2.3 KiB | 2025-Sep-05 16:32 |
| go-away-0.7.0-r1.apk | 7.8 MiB | 2025-Sep-05 16:32 |
| gnucobol-lang-3.2-r0.apk | 316.0 KiB | 2025-Jul-28 23:03 |
| gnucobol-doc-3.2-r0.apk | 70.8 KiB | 2025-Jul-28 23:03 |
| gnucobol-3.2-r0.apk | 978.8 KiB | 2025-Jul-28 23:03 |
| gnu-apl-doc-1.9-r0.apk | 1.6 MiB | 2024-Oct-26 05:44 |
| gnu-apl-dev-1.9-r0.apk | 902.0 KiB | 2024-Oct-26 05:44 |
| gnu-apl-1.9-r0.apk | 1.3 MiB | 2024-Oct-26 05:44 |
| gnome-user-share-systemd-48.2-r0.apk | 1.7 KiB | 2025-Nov-23 19:55 |
| gnome-user-share-lang-48.2-r0.apk | 67.9 KiB | 2025-Nov-23 19:55 |
| gnome-user-share-48.2-r0.apk | 324.4 KiB | 2025-Nov-23 19:55 |
| gnome-mimeapps-0.1-r1.apk | 3.7 KiB | 2025-Aug-09 12:10 |
| gnome-metronome-lang-1.3.0-r0.apk | 24.7 KiB | 2024-Oct-26 05:44 |
| gnome-metronome-1.3.0-r0.apk | 506.1 KiB | 2024-Oct-26 05:44 |
| gnome-mahjongg-lang-49.0.1-r0.apk | 170.3 KiB | 2025-Oct-19 06:04 |
| gnome-mahjongg-doc-49.0.1-r0.apk | 2.2 KiB | 2025-Oct-19 06:04 |
| gnome-mahjongg-49.0.1-r0.apk | 2.4 MiB | 2025-Oct-19 06:04 |
| gnome-common-3.18.0-r3.apk | 11.5 KiB | 2024-Oct-26 05:44 |
| gmsh-py-4.15.0-r0.apk | 6.7 KiB | 2025-Nov-01 10:25 |
| gmsh-doc-4.15.0-r0.apk | 1.9 MiB | 2025-Nov-01 10:25 |
| gmsh-dbg-4.15.0-r0.apk | 142.8 MiB | 2025-Nov-01 10:25 |
| gmsh-4.15.0-r0.apk | 8.6 MiB | 2025-Nov-01 10:24 |
| gmid-openrc-2.1.1-r0.apk | 2.2 KiB | 2024-Nov-28 04:26 |
| gmid-doc-2.1.1-r0.apk | 14.4 KiB | 2024-Nov-28 04:26 |
| gmid-2.1.1-r0.apk | 228.9 KiB | 2024-Nov-28 04:26 |
| gmic-qt-3.6.0-r1.apk | 1.7 MiB | 2025-Oct-25 18:36 |
| gmic-libs-3.6.0-r1.apk | 3.1 MiB | 2025-Oct-25 18:36 |
| gmic-doc-3.6.0-r1.apk | 222.9 KiB | 2025-Oct-25 18:36 |
| gmic-dev-3.6.0-r1.apk | 7.6 KiB | 2025-Oct-25 18:36 |
| gmic-bash-completion-3.6.0-r1.apk | 28.7 KiB | 2025-Oct-25 18:36 |
| gmic-3.6.0-r1.apk | 12.3 MiB | 2025-Oct-25 18:36 |
| gmenuharness-dev-0.1.4-r2.apk | 4.1 KiB | 2025-Feb-17 21:08 |
| gmenuharness-0.1.4-r2.apk | 38.0 KiB | 2025-Feb-17 21:08 |
| gmcapsule-pyc-0.9.8-r0.apk | 60.8 KiB | 2025-Oct-07 18:39 |
| gmcapsule-openrc-0.9.8-r0.apk | 2.0 KiB | 2025-Oct-07 18:39 |
| gmcapsule-0.9.8-r0.apk | 36.2 KiB | 2025-Oct-07 18:39 |
| glslviewer-3.2.4-r2.apk | 1.8 MiB | 2025-Aug-29 02:52 |
| glow-zsh-completion-2.1.1-r5.apk | 4.0 KiB | 2025-Dec-06 01:43 |
| glow-fish-completion-2.1.1-r5.apk | 4.3 KiB | 2025-Dec-06 01:43 |
| glow-doc-2.1.1-r5.apk | 3.2 KiB | 2025-Dec-06 01:43 |
| glow-bash-completion-2.1.1-r5.apk | 6.1 KiB | 2025-Dec-06 01:43 |
| glow-2.1.1-r5.apk | 5.8 MiB | 2025-Dec-06 01:43 |
| gloox-dev-1.0.28-r0.apk | 1.5 MiB | 2024-Oct-26 05:44 |
| gloox-1.0.28-r0.apk | 370.5 KiB | 2024-Oct-26 05:44 |
| glmark2-doc-2023.01-r1.apk | 12.8 KiB | 2024-Oct-26 05:44 |
| glmark2-2023.01-r1.apk | 8.0 MiB | 2024-Oct-26 05:44 |
| gliderlabs-sigil-doc-0.11.0-r10.apk | 2.4 KiB | 2025-Dec-06 01:43 |
| gliderlabs-sigil-0.11.0-r10.apk | 3.2 MiB | 2025-Dec-06 01:43 |
| glfw-wayland-dev-3.3.8-r3.apk | 45.6 KiB | 2024-Oct-26 05:44 |
| glfw-wayland-dbg-3.3.8-r3.apk | 199.1 KiB | 2024-Oct-26 05:44 |
| glfw-wayland-3.3.8-r3.apk | 66.8 KiB | 2024-Oct-26 05:44 |
| gkrellm-server-2.3.11-r0.apk | 52.3 KiB | 2025-Jan-09 07:36 |
| gkrellm-lang-2.3.11-r0.apk | 379.1 KiB | 2025-Jan-09 07:36 |
| gkrellm-doc-2.3.11-r0.apk | 18.5 KiB | 2025-Jan-09 07:36 |
| gkrellm-dev-2.3.11-r0.apk | 16.5 KiB | 2025-Jan-09 07:36 |
| gkrellm-2.3.11-r0.apk | 401.6 KiB | 2025-Jan-09 07:36 |
| git2json-pyc-0.2.3-r8.apk | 5.7 KiB | 2024-Oct-26 05:44 |
| git2json-0.2.3-r8.apk | 7.4 KiB | 2024-Oct-26 05:44 |
| git-secret-doc-0.5.0-r0.apk | 17.0 KiB | 2024-Oct-26 05:44 |
| git-secret-0.5.0-r0.apk | 14.7 KiB | 2024-Oct-26 05:44 |
| git-revise-pyc-0.7.0-r5.apk | 42.1 KiB | 2024-Oct-26 05:44 |
| git-revise-doc-0.7.0-r5.apk | 5.0 KiB | 2024-Oct-26 05:44 |
| git-revise-0.7.0-r5.apk | 24.3 KiB | 2024-Oct-26 05:44 |
| git-quick-stats-doc-2.8.0-r0.apk | 3.5 KiB | 2025-Sep-11 17:18 |
| git-quick-stats-2.8.0-r0.apk | 15.2 KiB | 2025-Sep-11 17:18 |
| git-graph-doc-0.6.0-r0.apk | 6.2 KiB | 2024-Nov-26 08:39 |
| git-graph-0.6.0-r0.apk | 871.6 KiB | 2024-Nov-26 08:39 |
| git-extras-doc-7.4.0-r0.apk | 65.2 KiB | 2025-Jul-23 06:26 |
| git-extras-bash-completion-7.4.0-r0.apk | 2.9 KiB | 2025-Jul-23 06:26 |
| git-extras-7.4.0-r0.apk | 57.1 KiB | 2025-Jul-23 06:26 |
| git-bug-zsh-completion-0.8.1-r6.apk | 4.1 KiB | 2025-Dec-06 01:43 |
| git-bug-fish-completion-0.8.1-r6.apk | 4.3 KiB | 2025-Dec-06 01:43 |
| git-bug-doc-0.8.1-r6.apk | 16.9 KiB | 2025-Dec-06 01:43 |
| git-bug-bash-completion-0.8.1-r6.apk | 5.3 KiB | 2025-Dec-06 01:43 |
| git-bug-0.8.1-r6.apk | 9.7 MiB | 2025-Dec-06 01:43 |
| gingerbase-pyc-2.3.0-r7.apk | 72.1 KiB | 2024-Oct-26 05:44 |
| gingerbase-lang-2.3.0-r7.apk | 52.8 KiB | 2024-Oct-26 05:44 |
| gingerbase-2.3.0-r7.apk | 195.2 KiB | 2024-Oct-26 05:44 |
| ginger-pyc-2.4.0-r7.apk | 255.9 KiB | 2024-Oct-26 05:44 |
| ginger-lang-2.4.0-r7.apk | 125.4 KiB | 2024-Oct-26 05:44 |
| ginger-2.4.0-r7.apk | 257.1 KiB | 2024-Oct-26 05:44 |
| ginac-doc-1.8.9-r0.apk | 97.5 KiB | 2025-May-25 16:51 |
| ginac-dev-1.8.9-r0.apk | 68.2 KiB | 2025-May-25 16:51 |
| ginac-1.8.9-r0.apk | 1.1 MiB | 2025-May-25 16:51 |
| gimp-plugin-gmic-3.6.0-r1.apk | 1.4 MiB | 2025-Oct-25 18:36 |
| ghq-zsh-completion-1.8.0-r6.apk | 2.5 KiB | 2025-Dec-06 01:43 |
| ghq-fish-completion-1.8.0-r6.apk | 2.5 KiB | 2025-Dec-06 01:43 |
| ghq-doc-1.8.0-r6.apk | 5.5 KiB | 2025-Dec-06 01:43 |
| ghq-bash-completion-1.8.0-r6.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| ghq-1.8.0-r6.apk | 3.7 MiB | 2025-Dec-06 01:43 |
| ghc-release-cabal-doc-3.17.0.0_pre20251116-r0.apk | 30.9 KiB | 2025-Nov-17 19:41 |
| ghc-release-cabal-3.17.0.0_pre20251116-r0.apk | 8.6 MiB | 2025-Nov-17 19:41 |
| ghc-filesystem-1.5.14-r0.apk | 38.6 KiB | 2024-Oct-26 05:44 |
| ghc-current-doc-9.15_pre20251106-r0.apk | 6.0 KiB | 2025-Nov-16 18:21 |
| ghc-current-cmds-9.15_pre20251106-r0.apk | 3.5 KiB | 2025-Nov-16 18:21 |
| ghc-current-9.15_pre20251106-r0.apk | 174.8 MiB | 2025-Nov-16 18:21 |
| gfan-0.6.2-r1.apk | 1.6 MiB | 2024-Oct-26 05:44 |
| gf2x-dev-1.3.0-r1.apk | 83.2 KiB | 2024-Oct-26 05:44 |
| gf2x-1.3.0-r1.apk | 39.8 KiB | 2024-Oct-26 05:44 |
| getting-things-gnome-lang-0.6-r4.apk | 228.7 KiB | 2024-Dec-09 06:36 |
| getting-things-gnome-doc-0.6-r4.apk | 497.4 KiB | 2024-Dec-09 06:36 |
| getting-things-gnome-0.6-r4.apk | 714.9 KiB | 2024-Dec-09 06:36 |
| getssl-2.48-r0.apk | 82.2 KiB | 2024-Oct-26 05:44 |
| getmail6-pyc-6.19.10-r0.apk | 103.3 KiB | 2025-Aug-19 20:29 |
| getmail6-doc-6.19.10-r0.apk | 138.5 KiB | 2025-Aug-19 20:29 |
| getmail6-6.19.10-r0.apk | 70.4 KiB | 2025-Aug-19 20:29 |
| geonames-lang-0.3.1-r2.apk | 4.6 MiB | 2024-Oct-26 05:44 |
| geonames-doc-0.3.1-r2.apk | 12.6 KiB | 2024-Oct-26 05:44 |
| geonames-dev-0.3.1-r2.apk | 3.0 KiB | 2024-Oct-26 05:44 |
| geonames-0.3.1-r2.apk | 827.1 KiB | 2024-Oct-26 05:44 |
| geomyidae-openrc-0.34-r2.apk | 2.0 KiB | 2024-Oct-26 05:44 |
| geomyidae-doc-0.34-r2.apk | 7.6 KiB | 2024-Oct-26 05:44 |
| geomyidae-0.34-r2.apk | 15.2 KiB | 2024-Oct-26 05:44 |
| geodns-openrc-3.3.0-r18.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| geodns-logs-3.3.0-r18.apk | 4.3 MiB | 2025-Dec-06 01:43 |
| geodns-3.3.0-r18.apk | 4.7 MiB | 2025-Dec-06 01:43 |
| geoclue-stumbler-1.1-r0.apk | 42.8 KiB | 2025-Oct-05 02:03 |
| gede-2.22.1-r0.apk | 413.7 KiB | 2025-Nov-03 07:10 |
| gearmand-openrc-1.1.22-r0.apk | 1.8 KiB | 2025-Sep-05 16:31 |
| gearmand-doc-1.1.22-r0.apk | 189.0 KiB | 2025-Sep-05 16:31 |
| gearmand-1.1.22-r0.apk | 174.3 KiB | 2025-Sep-05 16:31 |
| gearman-libs-1.1.22-r0.apk | 82.1 KiB | 2025-Sep-05 16:31 |
| gearman-dev-1.1.22-r0.apk | 1.9 MiB | 2025-Sep-05 16:31 |
| gdcm-doc-pdf-3.2.2-r3.apk | 14.1 MiB | 2025-Dec-02 17:54 |
| gdcm-doc-html-3.2.2-r3.apk | 8.9 MiB | 2025-Dec-02 17:54 |
| gdcm-doc-3.2.2-r3.apk | 55.4 KiB | 2025-Dec-02 17:54 |
| gdcm-dev-3.2.2-r3.apk | 449.9 KiB | 2025-Dec-02 17:54 |
| gdcm-3.2.2-r3.apk | 415.4 KiB | 2025-Dec-02 17:54 |
| gcli-doc-2.9.1-r0.apk | 37.7 KiB | 2025-Nov-10 01:39 |
| gcli-2.9.1-r0.apk | 129.2 KiB | 2025-Nov-10 01:39 |
| gb-0.4.4-r36.apk | 7.0 MiB | 2025-Dec-06 01:43 |
| gaupol-pyc-1.12-r2.apk | 418.4 KiB | 2024-Oct-26 05:44 |
| gaupol-lang-1.12-r2.apk | 276.7 KiB | 2024-Oct-26 05:44 |
| gaupol-doc-1.12-r2.apk | 2.3 KiB | 2024-Oct-26 05:44 |
| gaupol-1.12-r2.apk | 276.1 KiB | 2024-Oct-26 05:44 |
| gatling-openrc-0.16-r6.apk | 2.8 KiB | 2024-Oct-26 05:44 |
| gatling-doc-0.16-r6.apk | 9.1 KiB | 2024-Oct-26 05:44 |
| gatling-0.16-r6.apk | 147.0 KiB | 2024-Oct-26 05:44 |
| gammastep-pyc-2.0.9-r3.apk | 21.2 KiB | 2024-Oct-26 05:44 |
| gammastep-lang-2.0.9-r3.apk | 77.5 KiB | 2024-Oct-26 05:44 |
| gammastep-doc-2.0.9-r3.apk | 14.0 KiB | 2024-Oct-26 05:44 |
| gammastep-2.0.9-r3.apk | 89.5 KiB | 2024-Oct-26 05:44 |
| gamemode-doc-1.8.2-r0.apk | 7.5 KiB | 2025-Feb-06 08:47 |
| gamemode-dev-1.8.2-r0.apk | 5.1 KiB | 2025-Feb-06 08:47 |
| gamemode-1.8.2-r0.apk | 73.3 KiB | 2025-Feb-06 08:47 |
| game-devices-udev-0.25-r0.apk | 6.9 KiB | 2025-Oct-23 14:07 |
| fynedesk-0.4.0-r2.apk | 12.6 MiB | 2025-Dec-06 01:43 |
| fxload-2008.10.13-r0.apk | 8.7 KiB | 2025-Dec-07 08:10 |
| fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1.1 MiB | 2024-Oct-26 05:44 |
| fxfloorboard-katana-mk2-20240515-r1.apk | 5.5 MiB | 2024-Oct-26 05:44 |
| fuzzylite-libs-6.0-r2.apk | 353.4 KiB | 2025-Feb-01 06:55 |
| fuzzylite-doc-6.0-r2.apk | 2.1 KiB | 2025-Feb-01 06:55 |
| fuzzylite-dev-6.0-r2.apk | 68.4 KiB | 2025-Feb-01 06:55 |
| fuzzylite-6.0-r2.apk | 4.1 KiB | 2025-Feb-01 06:55 |
| fusesoc-pyc-2.3-r0.apk | 89.0 KiB | 2024-Oct-26 05:44 |
| fusesoc-2.3-r0.apk | 46.3 KiB | 2024-Oct-26 05:44 |
| fusee-nano-udev-0.5.3-r1.apk | 1.7 KiB | 2024-Oct-26 05:44 |
| fusee-nano-0.5.3-r1.apk | 20.9 KiB | 2024-Oct-26 05:44 |
| fungw-tcl-1.2.2-r0.apk | 19.3 KiB | 2025-Sep-20 03:27 |
| fungw-python3-1.2.2-r0.apk | 38.7 KiB | 2025-Sep-20 03:27 |
| fungw-perl-1.2.2-r0.apk | 68.7 KiB | 2025-Sep-20 03:27 |
| fungw-mujs-1.2.2-r0.apk | 24.7 KiB | 2025-Sep-20 03:27 |
| fungw-lua-1.2.2-r0.apk | 21.9 KiB | 2025-Sep-20 03:27 |
| fungw-fawk-1.2.2-r0.apk | 217.1 KiB | 2025-Sep-20 03:27 |
| fungw-duktape-1.2.2-r0.apk | 25.3 KiB | 2025-Sep-20 03:27 |
| fungw-doc-1.2.2-r0.apk | 13.2 KiB | 2025-Sep-20 03:27 |
| fungw-dev-1.2.2-r0.apk | 7.8 KiB | 2025-Sep-20 03:27 |
| fungw-cli-1.2.2-r0.apk | 36.3 KiB | 2025-Sep-20 03:27 |
| fungw-c-1.2.2-r0.apk | 10.2 KiB | 2025-Sep-20 03:27 |
| fungw-1.2.2-r0.apk | 14.1 KiB | 2025-Sep-20 03:27 |
| fulcrum-doc-1.9.8-r1.apk | 21.6 KiB | 2024-Oct-26 05:44 |
| fulcrum-admin-1.9.8-r1.apk | 7.9 KiB | 2024-Oct-26 05:44 |
| fulcrum-1.9.8-r1.apk | 872.3 KiB | 2024-Oct-26 05:44 |
| freshrss-themes-1.27.1-r0.apk | 1.5 MiB | 2025-Nov-03 13:30 |
| freshrss-sqlite-1.27.1-r0.apk | 1.3 KiB | 2025-Nov-03 13:30 |
| freshrss-pgsql-1.27.1-r0.apk | 1.3 KiB | 2025-Nov-03 13:30 |
| freshrss-openrc-1.27.1-r0.apk | 2.5 KiB | 2025-Nov-03 13:30 |
| freshrss-mysql-1.27.1-r0.apk | 1.3 KiB | 2025-Nov-03 13:30 |
| freshrss-lang-1.27.1-r0.apk | 499.0 KiB | 2025-Nov-03 13:30 |
| freshrss-doc-1.27.1-r0.apk | 846.3 KiB | 2025-Nov-03 13:30 |
| freshrss-1.27.1-r0.apk | 1.6 MiB | 2025-Nov-03 13:30 |
| frescobaldi-pyc-3.3.0-r1.apk | 1.2 MiB | 2024-Oct-26 05:44 |
| frescobaldi-doc-3.3.0-r1.apk | 2.5 KiB | 2024-Oct-26 05:44 |
| frescobaldi-3.3.0-r1.apk | 3.5 MiB | 2024-Oct-26 05:44 |
| freediameter-libfdproto-1.5.0-r1.apk | 84.2 KiB | 2024-Oct-26 05:44 |
| freediameter-libfdcore-1.5.0-r1.apk | 157.1 KiB | 2024-Oct-26 05:44 |
| freediameter-extensions-1.5.0-r1.apk | 355.5 KiB | 2024-Oct-26 05:44 |
| freediameter-dev-1.5.0-r1.apk | 53.8 KiB | 2024-Oct-26 05:44 |
| freediameter-1.5.0-r1.apk | 8.9 KiB | 2024-Oct-26 05:44 |
| freealut-dev-1.1.0-r1.apk | 29.4 KiB | 2024-Oct-26 05:44 |
| freealut-1.1.0-r1.apk | 18.1 KiB | 2024-Oct-26 05:44 |
| fq-0.16.0-r0.apk | 4.3 MiB | 2025-Dec-13 04:58 |
| fpp-doc-0.9.5-r0.apk | 5.8 KiB | 2024-Oct-26 05:44 |
| fpp-0.9.5-r0.apk | 29.5 KiB | 2024-Oct-26 05:44 |
| fplll-strategies-5.5.0-r0.apk | 1.7 MiB | 2024-Nov-17 13:16 |
| fplll-static-5.5.0-r0.apk | 12.4 MiB | 2024-Nov-17 13:16 |
| fplll-libs-5.5.0-r0.apk | 6.4 MiB | 2024-Nov-17 13:16 |
| fplll-dev-5.5.0-r0.apk | 78.0 KiB | 2024-Nov-17 13:16 |
| fplll-5.5.0-r0.apk | 48.2 KiB | 2024-Nov-17 13:16 |
| formiko-pyc-1.5.0-r0.apk | 61.6 KiB | 2025-Aug-15 18:06 |
| formiko-doc-1.5.0-r0.apk | 8.5 KiB | 2025-Aug-15 18:06 |
| formiko-1.5.0-r0.apk | 107.0 KiB | 2025-Aug-15 18:06 |
| foolsm-openrc-1.0.21-r0.apk | 1.8 KiB | 2024-Oct-26 05:44 |
| foolsm-doc-1.0.21-r0.apk | 4.1 KiB | 2024-Oct-26 05:44 |
| foolsm-1.0.21-r0.apk | 37.4 KiB | 2024-Oct-26 05:44 |
| font-tiresias-doc-0_git20200704-r0.apk | 58.1 KiB | 2024-Oct-26 05:44 |
| font-tiresias-0_git20200704-r0.apk | 568.2 KiB | 2024-Oct-26 05:44 |
| font-tinos-0_git20210228-r0.apk | 198.7 KiB | 2024-Oct-26 05:44 |
| font-terminus-ttf-4.49.3-r0.apk | 537.9 KiB | 2025-Jul-16 06:02 |
| font-tamzen-1.11.5-r1.apk | 61.8 KiB | 2024-Oct-26 05:44 |
| font-stix-ttf-2.13-r0.apk | 430.0 KiB | 2024-Oct-26 05:44 |
| font-stix-otf-2.13-r0.apk | 2.0 MiB | 2024-Oct-26 05:44 |
| font-siji-20190218_git-r2.apk | 24.5 KiB | 2024-Oct-26 05:44 |
| font-openmoji-16.0.0-r0.apk | 1.4 MiB | 2025-Aug-11 15:31 |
| font-monocraft-4.0-r0.apk | 676.8 KiB | 2024-Oct-26 05:44 |
| font-monaspace-xenon-1.101-r0.apk | 2.3 MiB | 2024-Oct-26 05:44 |
| font-monaspace-radon-1.101-r0.apk | 2.7 MiB | 2024-Oct-26 05:44 |
| font-monaspace-neon-1.101-r0.apk | 2.1 MiB | 2024-Oct-26 05:44 |
| font-monaspace-krypton-1.101-r0.apk | 2.1 MiB | 2024-Oct-26 05:44 |
| font-monaspace-argon-1.101-r0.apk | 2.2 MiB | 2024-Oct-26 05:44 |
| font-monaspace-1.101-r0.apk | 1.4 KiB | 2024-Oct-26 05:44 |
| font-material-icons-4.0.0-r0.apk | 651.8 KiB | 2024-Oct-26 05:44 |
| font-katex-0.16.2-r0.apk | 851.5 KiB | 2024-Oct-26 05:44 |
| font-intel-one-mono-1.3.0-r0.apk | 281.4 KiB | 2024-Oct-26 05:44 |
| font-hanazono-20170904-r2.apk | 28.9 MiB | 2025-Sep-15 22:00 |
| font-fontawesome-4-4.7.0-r3.apk | 205.0 KiB | 2024-Oct-26 05:44 |
| font-firamath-0.3.4-r0.apk | 118.3 KiB | 2024-Oct-26 05:44 |
| font-fira-code-vf-6.2-r0.apk | 145.0 KiB | 2024-Oct-26 05:44 |
| font-fira-code-6.2-r0.apk | 836.0 KiB | 2024-Oct-26 05:44 |
| font-fantasque-sans-normal-1.8.0-r0.apk | 316.0 KiB | 2024-Oct-26 05:44 |
| font-fantasque-sans-noloopk-1.8.0-r0.apk | 316.0 KiB | 2024-Oct-26 05:44 |
| font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk | 316.0 KiB | 2024-Oct-26 05:44 |
| font-fantasque-sans-largelineheight-1.8.0-r0.apk | 316.0 KiB | 2024-Oct-26 05:44 |
| font-fantasque-sans-doc-1.8.0-r0.apk | 5.8 KiB | 2024-Oct-26 05:44 |
| font-fantasque-sans-1.8.0-r0.apk | 1.5 KiB | 2024-Oct-26 05:44 |
| font-cousine-0_git20210228-r0.apk | 110.0 KiB | 2024-Oct-26 05:44 |
| font-commit-mono-1.143-r0.apk | 251.3 KiB | 2024-Oct-26 05:44 |
| font-comic-neue-doc-2.51-r0.apk | 1004.3 KiB | 2024-Oct-26 05:44 |
| font-comic-neue-2.51-r0.apk | 249.0 KiB | 2024-Oct-26 05:44 |
| font-chivo-mono-0_git20221110-r0.apk | 625.8 KiB | 2024-Oct-26 05:44 |
| font-chivo-0_git20221110-r0.apk | 792.3 KiB | 2024-Oct-26 05:44 |
| font-cascadia-mono-2407.24-r1.apk | 506.8 KiB | 2025-May-27 23:38 |
| font-cascadia-code-2407.24-r1.apk | 526.2 KiB | 2025-May-27 23:38 |
| font-cascadia-2407.24-r1.apk | 1.3 KiB | 2025-May-27 23:38 |
| font-babelstone-han-15.1.3-r0.apk | 18.3 MiB | 2024-Oct-26 05:44 |
| font-aref-ruqaa-1.006-r0.apk | 357.3 KiB | 2025-Apr-12 21:25 |
| font-anonymous-pro-1.002-r2.apk | 264.4 KiB | 2024-Oct-26 05:44 |
| font-andika-six-6.210-r0.apk | 1.5 MiB | 2025-Sep-28 21:27 |
| foma-dev-0.10.0_git20240712-r0.apk | 8.5 KiB | 2024-Oct-26 05:44 |
| foma-0.10.0_git20240712-r0.apk | 331.0 KiB | 2024-Oct-26 05:44 |
| fnf-doc-0.1-r0.apk | 4.6 KiB | 2024-Oct-26 05:44 |
| fnf-0.1-r0.apk | 17.3 KiB | 2024-Oct-26 05:44 |
| flowd-openrc-0.9.1-r11.apk | 1.9 KiB | 2025-Jun-30 16:50 |
| flowd-doc-0.9.1-r11.apk | 10.1 KiB | 2025-Jun-30 16:50 |
| flowd-dev-0.9.1-r11.apk | 8.1 KiB | 2025-Jun-30 16:50 |
| flowd-0.9.1-r11.apk | 80.3 KiB | 2025-Jun-30 16:50 |
| flint-dev-3.4.0-r0.apk | 315.9 KiB | 2025-Nov-26 17:33 |
| flint-3.4.0-r0.apk | 4.4 MiB | 2025-Nov-26 17:33 |
| flightgear-zsh-completion-2024.1.1-r0.apk | 7.3 KiB | 2025-Mar-05 09:18 |
| flightgear-doc-2024.1.1-r0.apk | 58.1 KiB | 2025-Mar-05 09:18 |
| flightgear-dbg-2024.1.1-r0.apk | 21.0 MiB | 2025-Mar-05 09:18 |
| flightgear-bash-completion-2024.1.1-r0.apk | 5.5 KiB | 2025-Mar-05 09:18 |
| flightgear-2024.1.1-r0.apk | 10.7 MiB | 2025-Mar-05 09:18 |
| flawz-zsh-completion-0.3.0-r0.apk | 2.2 KiB | 2024-Nov-04 06:07 |
| flawz-fish-completion-0.3.0-r0.apk | 1.9 KiB | 2024-Nov-04 06:07 |
| flawz-doc-0.3.0-r0.apk | 6.0 KiB | 2024-Nov-04 06:07 |
| flawz-bash-completion-0.3.0-r0.apk | 2.1 KiB | 2024-Nov-04 06:07 |
| flawz-0.3.0-r0.apk | 1.2 MiB | 2024-Nov-04 06:07 |
| flauschige-uhr-0.1-r1.apk | 4.2 KiB | 2024-Oct-26 05:44 |
| flatseal-lang-2.3.1-r0.apk | 78.9 KiB | 2025-Jun-20 00:30 |
| flatseal-doc-2.3.1-r0.apk | 8.5 KiB | 2025-Jun-20 00:30 |
| flatseal-2.3.1-r0.apk | 42.8 KiB | 2025-Jun-20 00:30 |
| flare-game-1.14-r0.apk | 2.2 KiB | 2024-Oct-26 05:44 |
| flare-engine-doc-1.14-r1.apk | 2.5 KiB | 2025-Nov-05 23:22 |
| flare-engine-1.14-r1.apk | 4.5 MiB | 2025-Nov-05 23:22 |
| flann-doc-1.9.2-r1.apk | 2.5 KiB | 2025-Feb-17 21:08 |
| flann-dev-1.9.2-r1.apk | 2.3 MiB | 2025-Feb-17 21:08 |
| flann-1.9.2-r1.apk | 1.7 MiB | 2025-Feb-17 21:08 |
| flang-next-static-22.0.0_pre20251226-r0.apk | 61.1 MiB | 2025-Dec-27 20:04 |
| flang-next-dev-22.0.0_pre20251226-r0.apk | 1.1 MiB | 2025-Dec-27 20:04 |
| flang-next-22.0.0_pre20251226-r0.apk | 23.3 MiB | 2025-Dec-27 20:04 |
| firehol-openrc-3.1.7-r2.apk | 2.0 KiB | 2024-Oct-26 05:44 |
| firehol-doc-3.1.7-r2.apk | 674.6 KiB | 2024-Oct-26 05:44 |
| firehol-3.1.7-r2.apk | 84.7 KiB | 2024-Oct-26 05:44 |
| finger-doc-0.5-r0.apk | 3.8 KiB | 2024-Oct-26 05:44 |
| finger-0.5-r0.apk | 6.6 KiB | 2024-Oct-26 05:44 |
| findtow-0.1-r0.apk | 4.8 KiB | 2024-Oct-26 05:44 |
| fileshelter-openrc-6.2.0-r4.apk | 1.7 KiB | 2025-Sep-28 07:30 |
| fileshelter-6.2.0-r4.apk | 309.9 KiB | 2025-Sep-28 07:30 |
| filebrowser-openrc-2.27.0-r16.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| filebrowser-2.27.0-r16.apk | 7.3 MiB | 2025-Dec-06 01:43 |
| filebeat-openrc-9.2.0-r1.apk | 2.0 KiB | 2025-Dec-06 01:43 |
| filebeat-9.2.0-r1.apk | 35.8 MiB | 2025-Dec-06 01:43 |
| fildesh-vim-0.2.0-r0.apk | 3.5 KiB | 2024-Oct-26 05:44 |
| fildesh-doc-0.2.0-r0.apk | 2.1 KiB | 2024-Oct-26 05:44 |
| fildesh-0.2.0-r0.apk | 69.0 KiB | 2024-Oct-26 05:44 |
| fheroes2-lang-1.1.13-r0.apk | 1.8 MiB | 2025-Dec-23 01:42 |
| fheroes2-1.1.13-r0.apk | 1.7 MiB | 2025-Dec-23 01:42 |
| ffsend-zsh-completion-0.2.76-r4.apk | 4.5 KiB | 2024-Oct-26 05:44 |
| ffsend-fish-completion-0.2.76-r4.apk | 3.5 KiB | 2024-Oct-26 05:44 |
| ffsend-bash-completion-0.2.76-r4.apk | 3.6 KiB | 2024-Oct-26 05:44 |
| ffsend-0.2.76-r4.apk | 1.6 MiB | 2024-Oct-26 05:44 |
| ffms2-doc-5.0-r2.apk | 30.2 KiB | 2025-Sep-27 22:40 |
| ffms2-dev-5.0-r2.apk | 7.5 KiB | 2025-Sep-27 22:40 |
| ffms2-5.0-r2.apk | 71.6 KiB | 2025-Sep-27 22:40 |
| ffmpeg4-libswscale-4.4.5-r4.apk | 158.3 KiB | 2025-Oct-19 19:15 |
| ffmpeg4-libswresample-4.4.5-r4.apk | 42.2 KiB | 2025-Oct-19 19:15 |
| ffmpeg4-libpostproc-4.4.5-r4.apk | 27.6 KiB | 2025-Oct-19 19:15 |
| ffmpeg4-libavutil-4.4.5-r4.apk | 299.4 KiB | 2025-Oct-19 19:15 |
| ffmpeg4-libavformat-4.4.5-r4.apk | 1.3 MiB | 2025-Oct-19 19:15 |
| ffmpeg4-libavfilter-4.4.5-r4.apk | 1.6 MiB | 2025-Oct-19 19:15 |
| ffmpeg4-libavdevice-4.4.5-r4.apk | 49.5 KiB | 2025-Oct-19 19:15 |
| ffmpeg4-libavcodec-4.4.5-r4.apk | 6.6 MiB | 2025-Oct-19 19:15 |
| ffmpeg4-dev-4.4.5-r4.apk | 280.8 KiB | 2025-Oct-19 19:15 |
| ffmpeg4-4.4.5-r4.apk | 33.3 KiB | 2025-Oct-19 19:15 |
| fflas-ffpack-2.5.0-r3.apk | 345.3 KiB | 2024-Oct-26 05:44 |
| fff-doc-2.2-r0.apk | 9.2 KiB | 2024-Oct-26 05:44 |
| fff-2.2-r0.apk | 11.0 KiB | 2024-Oct-26 05:44 |
| femto-doc-2.24.1-r0.apk | 47.5 KiB | 2025-Sep-06 17:34 |
| femto-2.24.1-r0.apk | 68.3 KiB | 2025-Sep-06 17:34 |
| felix-2.16.1-r0.apk | 649.7 KiB | 2025-May-16 17:42 |
| featherpad-lang-1.6.2-r0.apk | 485.2 KiB | 2025-Nov-29 05:10 |
| featherpad-1.6.2-r0.apk | 717.3 KiB | 2025-Nov-29 05:10 |
| fdm-materials-5.2.2-r1.apk | 59.7 KiB | 2024-Oct-26 05:44 |
| fceux-doc-2.6.6-r4.apk | 104.7 KiB | 2025-Sep-27 22:40 |
| fceux-2.6.6-r4.apk | 2.9 MiB | 2025-Sep-27 22:40 |
| fbdebug-1.0.1-r0.apk | 5.6 KiB | 2025-Dec-20 06:47 |
| fbcur-doc-1.0.1-r1.apk | 2.2 KiB | 2024-Oct-26 05:44 |
| fbcur-1.0.1-r1.apk | 4.6 KiB | 2024-Oct-26 05:44 |
| fava-pyc-1.28-r0.apk | 164.0 KiB | 2024-Oct-26 05:44 |
| fava-1.28-r0.apk | 1.1 MiB | 2024-Oct-26 05:44 |
| faust-vim-2.79.3-r0.apk | 2.6 KiB | 2025-Jun-08 02:03 |
| faust-tools-2.79.3-r0.apk | 122.4 KiB | 2025-Jun-08 02:03 |
| faust-static-2.79.3-r0.apk | 936.3 KiB | 2025-Jun-08 02:03 |
| faust-doc-2.79.3-r0.apk | 16.7 MiB | 2025-Jun-08 02:03 |
| faust-dev-2.79.3-r0.apk | 1.4 MiB | 2025-Jun-08 02:03 |
| faust-2.79.3-r0.apk | 7.7 MiB | 2025-Jun-08 02:03 |
| faultstat-doc-0.01.11-r0.apk | 3.0 KiB | 2024-Oct-26 05:44 |
| faultstat-bash-completion-0.01.11-r0.apk | 2.3 KiB | 2024-Oct-26 05:44 |
| faultstat-0.01.11-r0.apk | 12.6 KiB | 2024-Oct-26 05:44 |
| fatresize-doc-1.1.0-r1.apk | 15.2 KiB | 2024-Oct-26 05:44 |
| fatresize-1.1.0-r1.apk | 8.2 KiB | 2024-Oct-26 05:44 |
| fatrace-doc-0.18.0-r0.apk | 3.3 KiB | 2025-Jul-28 04:31 |
| fatrace-0.18.0-r0.apk | 10.3 KiB | 2025-Jul-28 04:31 |
| fathom-1.3.1-r18.apk | 4.8 MiB | 2025-Dec-06 01:43 |
| fatback-doc-1.3-r2.apk | 16.1 KiB | 2024-Oct-26 05:44 |
| fatback-1.3-r2.apk | 33.8 KiB | 2024-Oct-26 05:44 |
| fastd-openrc-23-r0.apk | 1.7 KiB | 2025-Jan-28 06:33 |
| fastd-doc-23-r0.apk | 3.3 KiB | 2025-Jan-28 06:33 |
| fastd-23-r0.apk | 73.7 KiB | 2025-Jan-28 06:33 |
| fast-double-parser-0.8.1-r0.apk | 25.3 KiB | 2025-Oct-20 22:50 |
| fakeroot-tcp-1.32.1-r1.apk | 29.7 KiB | 2024-Oct-26 05:44 |
| fabric-pyc-3.2.2-r1.apk | 60.0 KiB | 2024-Oct-26 05:44 |
| fabric-3.2.2-r1.apk | 54.6 KiB | 2024-Oct-26 05:44 |
| extundelete-0.2.4-r1.apk | 41.7 KiB | 2024-Oct-26 05:44 |
| extremetuxracer-doc-0.8.3-r0.apk | 6.7 KiB | 2024-Oct-26 05:44 |
| extremetuxracer-0.8.3-r0.apk | 39.5 MiB | 2024-Oct-26 05:44 |
| extrace-doc-0.9-r0.apk | 3.5 KiB | 2024-Oct-26 05:44 |
| extrace-0.9-r0.apk | 9.7 KiB | 2024-Oct-26 05:44 |
| exercism-zsh-completion-3.2.0-r18.apk | 2.1 KiB | 2025-Dec-06 01:43 |
| exercism-fish-completion-3.2.0-r18.apk | 2.4 KiB | 2025-Dec-06 01:43 |
| exercism-bash-completion-3.2.0-r18.apk | 2.0 KiB | 2025-Dec-06 01:43 |
| exercism-3.2.0-r18.apk | 4.0 MiB | 2025-Dec-06 01:43 |
| exabgp-pyc-4.2.24-r1.apk | 778.3 KiB | 2025-Sep-05 16:31 |
| exabgp-openrc-4.2.24-r1.apk | 2.3 KiB | 2025-Sep-05 16:31 |
| exabgp-doc-4.2.24-r1.apk | 8.1 KiB | 2025-Sep-05 16:31 |
| exabgp-4.2.24-r1.apk | 384.8 KiB | 2025-Sep-05 16:31 |
| eww-0.6.0-r0.apk | 2.9 MiB | 2025-Nov-10 04:47 |
| evolution-on-3.24.4-r1.apk | 10.7 KiB | 2025-Sep-19 02:39 |
| evolution-etesync-lang-1.1.1-r0.apk | 19.3 KiB | 2025-Sep-28 04:41 |
| evolution-etesync-1.1.1-r0.apk | 61.9 KiB | 2025-Sep-28 04:41 |
| ettercap-doc-0.8.3.1-r3.apk | 45.1 KiB | 2024-Oct-26 05:44 |
| ettercap-0.8.3.1-r3.apk | 570.0 KiB | 2024-Oct-26 05:44 |
| esptool-pyc-4.8.1-r0.apk | 549.3 KiB | 2024-Oct-26 05:44 |
| esptool-4.8.1-r0.apk | 424.0 KiB | 2024-Oct-26 05:44 |
| espeakup-openrc-0.90-r2.apk | 1.8 KiB | 2024-Oct-26 05:44 |
| espeakup-0.90-r2.apk | 11.5 KiB | 2024-Oct-26 05:44 |
| errands-lang-46.2.9-r0.apk | 73.1 KiB | 2025-Oct-23 17:13 |
| errands-46.2.9-r0.apk | 84.1 KiB | 2025-Oct-23 17:13 |
| ergo-ldap-doc-0.0.1-r22.apk | 2.3 KiB | 2025-Dec-06 01:43 |
| ergo-ldap-0.0.1-r22.apk | 2.2 MiB | 2025-Dec-06 01:43 |
| epr-pyc-2.4.15-r1.apk | 24.3 KiB | 2024-Oct-26 05:44 |
| epr-2.4.15-r1.apk | 15.7 KiB | 2024-Oct-26 05:44 |
| epoch-1.3.0-r2.apk | 57.1 KiB | 2024-Oct-26 05:43 |
| epic6-script-0_git20250821-r0.apk | 149.0 KiB | 2025-Sep-05 16:31 |
| epic6-doc-0_git20250821-r0.apk | 17.2 KiB | 2025-Sep-05 16:31 |
| epic6-0_git20250821-r0.apk | 364.8 KiB | 2025-Sep-05 16:31 |
| envsubst-0.1-r1.apk | 4.9 KiB | 2024-Oct-26 05:43 |
| envconsul-0.13.4-r1.apk | 4.8 MiB | 2025-Dec-06 01:43 |
| enlighten-doc-0.9.2-r1.apk | 3.4 KiB | 2024-Oct-26 05:43 |
| enlighten-0.9.2-r1.apk | 7.0 KiB | 2024-Oct-26 05:43 |
| enjoy-0.3-r1.apk | 12.1 KiB | 2024-Oct-26 05:43 |
| endlessh-doc-1.1-r1.apk | 2.7 KiB | 2025-May-25 16:51 |
| endlessh-1.1-r1.apk | 9.3 KiB | 2025-May-25 16:51 |
| endeavour-lang-43.0-r2.apk | 203.1 KiB | 2024-Dec-09 06:36 |
| endeavour-doc-43.0-r2.apk | 68.1 KiB | 2024-Dec-09 06:36 |
| endeavour-dev-43.0-r2.apk | 45.6 KiB | 2024-Dec-09 06:36 |
| endeavour-43.0-r2.apk | 188.6 KiB | 2024-Dec-09 06:36 |
| emulationstation-theme-gbz35-2.11.2-r1.apk | 3.3 MiB | 2024-Oct-26 05:43 |
| emulationstation-2.11.2-r1.apk | 1.3 MiB | 2024-Oct-26 05:43 |
| emmylua-ls-doc-0.17.0-r0.apk | 36.7 KiB | 2025-Dec-22 09:18 |
| emmylua-ls-0.17.0-r0.apk | 2.7 MiB | 2025-Dec-22 09:18 |
| emmylua-doc-cli-0.17.0-r0.apk | 2.2 MiB | 2025-Dec-22 09:18 |
| emmylua-check-0.17.0-r0.apk | 1.8 MiB | 2025-Dec-22 09:18 |
| emacs-total-recall-examples-0_git20250426-r0.apk | 13.9 KiB | 2025-Apr-30 17:20 |
| emacs-total-recall-0_git20250426-r0.apk | 17.6 KiB | 2025-Apr-30 17:20 |
| emacs-taxy-magit-section-0.14.3-r0.apk | 17.7 KiB | 2025-Apr-23 14:43 |
| emacs-taxy-0.10.2-r0.apk | 11.5 KiB | 2025-Apr-23 14:43 |
| emacs-svg-lib-0_git20240219-r0.apk | 19.0 KiB | 2024-Oct-26 05:43 |
| emacs-sqlite3-api-0.18-r0.apk | 17.4 KiB | 2024-Oct-26 05:43 |
| emacs-powerline-2.4_git20221110-r0.apk | 28.9 KiB | 2024-Oct-26 05:43 |
| emacs-persist-0.6_git20240114-r0.apk | 6.6 KiB | 2024-Oct-26 05:43 |
| emacs-lsp-booster-doc-0.2.1-r0.apk | 2.3 KiB | 2025-Apr-12 21:21 |
| emacs-lsp-booster-0.2.1-r0.apk | 420.7 KiB | 2025-Apr-12 21:21 |
| emacs-llama-1.0.2-r0.apk | 12.6 KiB | 2025-Nov-03 02:17 |
| emacs-hydra-0.15.0_git20220910-r0.apk | 45.9 KiB | 2024-Oct-26 05:43 |
| emacs-hnreader-0_git20221116-r0.apk | 9.7 KiB | 2024-Oct-26 05:43 |
| emacs-helm-3.9.7_git20240329-r0.apk | 815.2 KiB | 2024-Oct-26 05:43 |
| emacs-hackernews-0.7.0-r0.apk | 15.5 KiB | 2024-Oct-26 05:43 |
| emacs-gnosis-0.3.2-r0.apk | 62.1 KiB | 2024-Oct-26 05:43 |
| emacs-fossil-0_git20230504-r0.apk | 14.6 KiB | 2024-Oct-26 05:43 |
| emacs-epkg-3.3.3_git20240713-r0.apk | 36.8 KiB | 2024-Oct-26 05:43 |
| emacs-ement-0.16-r0.apk | 290.7 KiB | 2025-Apr-23 14:14 |
| emacs-embark-consult-1.1-r0.apk | 10.4 KiB | 2025-Apr-23 14:43 |
| emacs-embark-1.1-r0.apk | 110.9 KiB | 2025-Apr-23 14:43 |
| emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk | 4.3 KiB | 2024-Oct-26 05:43 |
| emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 17.4 KiB | 2024-Oct-26 05:43 |
| emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5.9 KiB | 2024-Oct-26 05:43 |
| emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 6.1 KiB | 2024-Oct-26 05:43 |
| emacs-emacsql-3.1.1_git20240714-r0.apk | 22.6 KiB | 2024-Oct-26 05:43 |
| emacs-elfeed-3.4.2-r0.apk | 90.6 KiB | 2025-Apr-23 14:14 |
| emacs-derl-0_git20231004-r1.apk | 23.4 KiB | 2025-Jul-29 13:36 |
| emacs-consult-1.4_git20240405-r0.apk | 137.8 KiB | 2024-Oct-26 05:43 |
| emacs-company-wubi-0_git20161031-r0.apk | 1.1 MiB | 2025-Dec-12 19:08 |
| emacs-company-1.0.2-r0.apk | 159.4 KiB | 2025-Dec-12 19:08 |
| emacs-closql-1.2.1_git20240712-r0.apk | 14.4 KiB | 2024-Oct-26 05:43 |
| emacs-centaur-tabs-3.2_git20230601-r0.apk | 55.1 KiB | 2024-Oct-26 05:43 |
| emacs-avy-embark-collect-1.1-r0.apk | 3.8 KiB | 2025-Apr-23 14:43 |
| emacs-avy-0.5.0_git20230420-r0.apk | 43.4 KiB | 2024-Oct-26 05:43 |
| emacs-ace-window-0.10.0_git20220911-r0.apk | 22.8 KiB | 2024-Oct-26 05:43 |
| elf_diff-pyc-0.7.1-r3.apk | 107.6 KiB | 2024-Oct-26 05:43 |
| elf_diff-0.7.1-r3.apk | 108.3 KiB | 2024-Oct-26 05:43 |
| elementary-videos-lang-8.0.2-r0.apk | 83.4 KiB | 2025-Sep-02 11:28 |
| elementary-videos-8.0.2-r0.apk | 113.2 KiB | 2025-Sep-02 11:28 |
| elementary-theme-8.1.0-r0.apk | 1.5 MiB | 2025-Jan-13 05:38 |
| elementary-sound-theme-1.1.0-r0.apk | 83.1 KiB | 2024-Nov-11 07:08 |
| elementary-settings-daemon-openrc-8.3.0-r0.apk | 1.8 KiB | 2025-May-26 18:05 |
| elementary-settings-daemon-lang-8.3.0-r0.apk | 74.0 KiB | 2025-May-26 18:05 |
| elementary-settings-daemon-8.3.0-r0.apk | 81.6 KiB | 2025-May-26 18:05 |
| elementary-photos-lang-8.0.1-r0.apk | 1.0 MiB | 2025-Jan-04 00:52 |
| elementary-photos-8.0.1-r0.apk | 1.1 MiB | 2025-Jan-04 00:52 |
| elementary-music-lang-8.0.0-r0.apk | 47.5 KiB | 2024-Oct-29 07:06 |
| elementary-music-8.0.0-r0.apk | 73.4 KiB | 2024-Oct-29 07:06 |
| elementary-icon-theme-8.1.0-r0.apk | 5.0 MiB | 2025-May-13 16:30 |
| elementary-feedback-lang-8.1.0-r0.apk | 48.5 KiB | 2025-Nov-23 00:38 |
| elementary-feedback-8.1.0-r0.apk | 46.8 KiB | 2025-Nov-23 00:38 |
| elementary-dock-lang-8.0.2-r0.apk | 27.6 KiB | 2025-May-25 07:59 |
| elementary-dock-8.0.2-r0.apk | 87.2 KiB | 2025-May-25 07:59 |
| elementary-camera-lang-8.0.2-r0.apk | 35.2 KiB | 2025-Sep-02 11:25 |
| elementary-camera-8.0.2-r0.apk | 86.3 KiB | 2025-Sep-02 11:25 |
| elementary-calculator-lang-8.0.1-r0.apk | 59.5 KiB | 2025-Sep-02 11:29 |
| elementary-calculator-8.0.1-r0.apk | 71.8 KiB | 2025-Sep-02 11:29 |
| elastic-beats-9.2.0-r1.apk | 1.3 KiB | 2025-Dec-06 01:43 |
| eiwd-openrc-3.10-r0.apk | 1.9 KiB | 2025-Oct-07 19:12 |
| eiwd-doc-3.10-r0.apk | 20.5 KiB | 2025-Oct-07 19:12 |
| eiwd-3.10-r0.apk | 858.1 KiB | 2025-Oct-07 19:12 |
| efl-gdb-1.28.1-r2.apk | 1.7 KiB | 2025-Mar-24 00:03 |
| efl-dev-1.28.1-r2.apk | 1.8 MiB | 2025-Mar-24 00:03 |
| efl-1.28.1-r2.apk | 34.0 MiB | 2025-Mar-24 00:03 |
| edward-doc-1.1.0-r0.apk | 5.3 KiB | 2024-Oct-26 05:43 |
| edward-1.1.0-r0.apk | 1.8 MiB | 2024-Oct-26 05:43 |
| edit-doc-1.2.1-r0.apk | 2.2 KiB | 2025-Oct-16 22:17 |
| edit-1.2.1-r0.apk | 252.6 KiB | 2025-Oct-16 22:17 |
| ecos-dev-2.0.10-r0.apk | 28.3 KiB | 2024-Oct-26 05:43 |
| ecos-2.0.10-r0.apk | 38.8 KiB | 2024-Oct-26 05:43 |
| eclipse-ecj-4.37-r0.apk | 2.5 MiB | 2025-Sep-22 22:11 |
| eclib-static-20250627-r2.apk | 20.3 MiB | 2025-Nov-26 17:33 |
| eclib-libs-20250627-r2.apk | 1.2 MiB | 2025-Nov-26 17:33 |
| eclib-doc-20250627-r2.apk | 28.0 KiB | 2025-Nov-26 17:33 |
| eclib-dev-20250627-r2.apk | 95.8 KiB | 2025-Nov-26 17:33 |
| eclib-20250627-r2.apk | 344.0 KiB | 2025-Nov-26 17:33 |
| eccodes-2.44.0-r0.apk | 10.9 MiB | 2025-Oct-09 13:43 |
| ecasound-doc-2.9.3-r4.apk | 38.5 KiB | 2025-Feb-25 08:50 |
| ecasound-dev-2.9.3-r4.apk | 1.9 MiB | 2025-Feb-25 08:50 |
| ecasound-2.9.3-r4.apk | 700.2 KiB | 2025-Feb-25 08:50 |
| eatmemory-0.1.6-r2.apk | 4.2 KiB | 2024-Oct-26 05:43 |
| e16-lang-1.0.30-r0.apk | 379.6 KiB | 2024-Nov-05 22:22 |
| e16-doc-1.0.30-r0.apk | 27.3 KiB | 2024-Nov-05 22:22 |
| e16-1.0.30-r0.apk | 797.5 KiB | 2024-Nov-05 22:22 |
| dwl-doc-0.7-r0.apk | 3.1 KiB | 2024-Oct-26 05:43 |
| dwl-0.7-r0.apk | 28.1 KiB | 2024-Oct-26 05:43 |
| dvdbackup-lang-0.4.2-r1.apk | 1.4 KiB | 2024-Oct-26 05:43 |
| dvdbackup-doc-0.4.2-r1.apk | 7.6 KiB | 2024-Oct-26 05:43 |
| dvdbackup-0.4.2-r1.apk | 15.6 KiB | 2024-Oct-26 05:43 |
| dustracing2d-2.1.1-r1.apk | 5.1 MiB | 2024-Oct-26 05:43 |
| dum-0.1.20-r1.apk | 346.0 KiB | 2025-Aug-29 17:51 |
| dulcepan-1.0.2-r0.apk | 22.8 KiB | 2024-Oct-26 05:43 |
| duf-doc-0.9.1-r2.apk | 4.7 KiB | 2025-Dec-06 01:43 |
| duf-0.9.1-r2.apk | 1.2 MiB | 2025-Dec-06 01:43 |
| duc-doc-1.4.5-r0.apk | 9.1 KiB | 2024-Oct-26 05:43 |
| duc-1.4.5-r0.apk | 88.0 KiB | 2024-Oct-26 05:43 |
| dublin-traceroute-doc-0.4.2-r4.apk | 2.3 KiB | 2024-Oct-26 05:43 |
| dublin-traceroute-dev-0.4.2-r4.apk | 6.9 KiB | 2024-Oct-26 05:43 |
| dublin-traceroute-contrib-0.4.2-r4.apk | 2.9 KiB | 2024-Oct-26 05:43 |
| dublin-traceroute-0.4.2-r4.apk | 45.9 KiB | 2024-Oct-26 05:43 |
| dstask-zsh-completion-0.27-r4.apk | 1.7 KiB | 2025-Dec-06 01:43 |
| dstask-import-0.27-r4.apk | 3.5 MiB | 2025-Dec-06 01:43 |
| dstask-fish-completion-0.27-r4.apk | 1.7 KiB | 2025-Dec-06 01:43 |
| dstask-bash-completion-0.27-r4.apk | 2.1 KiB | 2025-Dec-06 01:43 |
| dstask-0.27-r4.apk | 1.5 MiB | 2025-Dec-06 01:43 |
| dsp-doc-2.0-r2.apk | 10.1 KiB | 2025-Sep-27 22:40 |
| dsp-2.0-r2.apk | 159.0 KiB | 2025-Sep-27 22:40 |
| drupal7-doc-7.103-r0.apk | 57.5 KiB | 2024-Dec-05 02:27 |
| drupal7-7.103-r0.apk | 3.3 MiB | 2024-Dec-05 02:27 |
| drumgizmo-0.9.20-r1.apk | 408.9 KiB | 2024-Oct-26 05:43 |
| dropwatch-doc-1.5.5-r2.apk | 3.7 KiB | 2025-Dec-06 01:43 |
| dropwatch-1.5.5-r2.apk | 17.0 KiB | 2025-Dec-06 01:43 |
| drone-cli-1.8.0-r15.apk | 5.8 MiB | 2025-Dec-06 01:43 |
| droidcam-gui-2.1.3-r3.apk | 33.1 KiB | 2025-Sep-27 22:40 |
| droidcam-2.1.3-r3.apk | 18.9 KiB | 2025-Sep-27 22:40 |
| drogon-doc-1.9.4-r2.apk | 2.3 KiB | 2025-May-22 15:58 |
| drogon-dev-1.9.4-r2.apk | 121.3 KiB | 2025-May-22 15:58 |
| drogon-1.9.4-r2.apk | 1.5 MiB | 2025-May-22 15:58 |
| draw-0.1.1-r18.apk | 1012.3 KiB | 2025-Dec-06 01:43 |
| draco-tools-1.5.7-r2.apk | 1.2 MiB | 2025-Feb-17 21:08 |
| draco-static-1.5.7-r2.apk | 2.5 MiB | 2025-Feb-17 21:08 |
| draco-dev-1.5.7-r2.apk | 204.7 KiB | 2025-Feb-17 21:08 |
| draco-1.5.7-r2.apk | 828.2 KiB | 2025-Feb-17 21:08 |
| downloader-cli-0.3.4-r2.apk | 2.0 KiB | 2025-May-15 03:14 |
| dotenv-linter-4.0.0-r0.apk | 1.2 MiB | 2025-Nov-23 01:43 |
| dooit-pyc-3.3.3-r0.apk | 103.2 KiB | 2025-Oct-27 18:01 |
| dooit-extras-pyc-0.2.0-r0.apk | 22.8 KiB | 2024-Dec-08 05:32 |
| dooit-extras-0.2.0-r0.apk | 12.7 KiB | 2024-Dec-08 05:32 |
| dooit-3.3.3-r0.apk | 45.9 KiB | 2025-Oct-27 18:01 |
| dolt-1.79.1-r0.apk | 37.4 MiB | 2025-Dec-17 03:42 |
| dockerize-0.9.6-r2.apk | 3.3 MiB | 2025-Dec-06 01:43 |
| docker-volume-local-persist-openrc-1.3.0-r38.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| docker-volume-local-persist-1.3.0-r38.apk | 2.5 MiB | 2025-Dec-06 01:43 |
| doasedit-1.0.9-r0.apk | 3.5 KiB | 2025-Oct-31 21:17 |
| dnssec-tools-doc-2.2.3-r13.apk | 317.0 KiB | 2025-Jun-30 16:50 |
| dnssec-tools-dev-2.2.3-r13.apk | 302.2 KiB | 2025-Jun-30 16:50 |
| dnssec-tools-2.2.3-r13.apk | 771.3 KiB | 2025-Jun-30 16:50 |
| dnsperf-doc-2.14.0-r0.apk | 34.9 KiB | 2024-Oct-26 05:43 |
| dnsperf-2.14.0-r0.apk | 71.7 KiB | 2024-Oct-26 05:43 |
| dnsenum-doc-1.3.2-r0.apk | 5.2 KiB | 2024-Oct-26 05:43 |
| dnsenum-1.3.2-r0.apk | 21.2 KiB | 2024-Oct-26 05:43 |
| dnscrypt-wrapper-0.4.2-r3.apk | 30.3 KiB | 2024-Oct-26 05:43 |
| dnscontrol-doc-4.29.0-r0.apk | 2.3 KiB | 2025-Dec-19 06:14 |
| dnscontrol-4.29.0-r0.apk | 16.6 MiB | 2025-Dec-19 06:14 |
| dnote-zsh-completion-0.16.0-r0.apk | 2.0 KiB | 2025-Dec-11 10:32 |
| dnote-doc-0.16.0-r0.apk | 6.3 KiB | 2025-Dec-11 10:32 |
| dnote-bash-completion-0.16.0-r0.apk | 2.1 KiB | 2025-Dec-11 10:32 |
| dnote-0.16.0-r0.apk | 3.9 MiB | 2025-Dec-11 10:32 |
| dmenu-wl-doc-0.1-r0.apk | 4.1 KiB | 2025-Jul-02 19:32 |
| dmenu-wl-0.1-r0.apk | 18.1 KiB | 2025-Jul-02 19:32 |
| dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 46.5 KiB | 2024-Nov-30 06:58 |
| dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1.9 KiB | 2024-Nov-30 06:58 |
| dmarc-metrics-exporter-1.2.0-r0.apk | 25.3 KiB | 2024-Nov-30 06:58 |
| dmarc-cat-0.15.0-r10.apk | 2.7 MiB | 2025-Dec-06 01:43 |
| dlib-dev-19.24.4-r0.apk | 2.4 MiB | 2024-Oct-26 05:43 |
| dlib-19.24.4-r0.apk | 775.0 KiB | 2024-Oct-26 05:43 |
| dive-0.13.0-r7.apk | 3.8 MiB | 2025-Dec-06 01:43 |
| distroshelf-lang-1.3.0-r0.apk | 37.4 KiB | 2025-Dec-28 00:44 |
| distroshelf-1.3.0-r0.apk | 3.4 MiB | 2025-Dec-28 00:44 |
| dislocker-libs-0.7.3-r6.apk | 44.6 KiB | 2025-Jul-20 08:02 |
| dislocker-doc-0.7.3-r6.apk | 6.0 KiB | 2025-Jul-20 08:02 |
| dislocker-0.7.3-r6.apk | 15.8 KiB | 2025-Jul-20 08:02 |
| diskus-0.8.0-r0.apk | 341.6 KiB | 2025-May-19 07:16 |
| disfetch-3.7-r0.apk | 8.3 KiB | 2024-Oct-26 05:43 |
| diceware-pyc-1.0.1-r0.apk | 18.1 KiB | 2025-Jan-14 07:49 |
| diceware-1.0.1-r0.apk | 334.0 KiB | 2025-Jan-14 07:49 |
| dhewm3-1.5.4-r0.apk | 5.2 MiB | 2025-Feb-17 21:08 |
| dfu-programmer-doc-1.1.0-r0.apk | 5.7 KiB | 2024-Oct-26 05:43 |
| dfu-programmer-bash-completion-1.1.0-r0.apk | 2.8 KiB | 2024-Oct-26 05:43 |
| dfu-programmer-1.1.0-r0.apk | 35.3 KiB | 2024-Oct-26 05:43 |
| dfl-sni-dev-0.3.0-r0.apk | 5.0 KiB | 2025-Aug-21 17:01 |
| dfl-sni-0.3.0-r0.apk | 60.6 KiB | 2025-Aug-21 17:01 |
| dfl-login1-dev-0.3.0-r0.apk | 3.7 KiB | 2025-Aug-21 17:01 |
| dfl-login1-0.3.0-r0.apk | 35.2 KiB | 2025-Aug-21 17:01 |
| dfl-ipc-dev-0.3.0-r0.apk | 4.8 KiB | 2025-Aug-21 17:01 |
| dfl-ipc-0.3.0-r0.apk | 47.8 KiB | 2025-Aug-21 17:01 |
| dfl-applications-dev-0.3.0-r0.apk | 3.9 KiB | 2025-Aug-21 17:01 |
| dfl-applications-0.3.0-r0.apk | 67.3 KiB | 2025-Aug-21 17:01 |
| devil-dev-1.8.0-r0.apk | 12.9 KiB | 2024-Oct-26 05:43 |
| devil-1.8.0-r0.apk | 266.4 KiB | 2024-Oct-26 05:43 |
| deviced-openrc-0_git20250427-r0.apk | 1.7 KiB | 2025-Jul-06 05:04 |
| deviced-dev-0_git20250427-r0.apk | 26.1 KiB | 2025-Jul-06 05:04 |
| deviced-0_git20250427-r0.apk | 120.7 KiB | 2025-Jul-06 05:04 |
| detox-doc-2.0.0-r0.apk | 20.7 KiB | 2024-Oct-26 05:43 |
| detox-2.0.0-r0.apk | 115.5 KiB | 2024-Oct-26 05:43 |
| desync-0.9.6-r10.apk | 7.5 MiB | 2025-Dec-06 01:43 |
| desed-doc-1.2.1-r1.apk | 2.9 KiB | 2024-Oct-26 05:43 |
| desed-1.2.1-r1.apk | 425.0 KiB | 2024-Oct-26 05:43 |
| dehydrated-0.7.1-r0.apk | 26.4 KiB | 2024-Oct-26 05:43 |
| decoder-lang-0.7.0-r0.apk | 59.0 KiB | 2025-Apr-10 22:54 |
| decoder-0.7.0-r0.apk | 2.0 MiB | 2025-Apr-10 22:54 |
| debconf-utils-1.5.82-r0.apk | 6.6 KiB | 2024-Oct-26 05:43 |
| debconf-lang-1.5.82-r0.apk | 132.4 KiB | 2024-Oct-26 05:43 |
| debconf-doc-1.5.82-r0.apk | 23.5 KiB | 2024-Oct-26 05:43 |
| debconf-bash-completion-1.5.82-r0.apk | 1.8 KiB | 2024-Oct-26 05:43 |
| debconf-1.5.82-r0.apk | 69.4 KiB | 2024-Oct-26 05:43 |
| deadbeef-soxr-20180801-r0.apk | 6.3 KiB | 2024-Oct-26 05:43 |
| ddserver-0_git20200930-r1.apk | 12.0 KiB | 2024-Oct-26 05:43 |
| ddgr-zsh-completion-2.2-r0.apk | 2.7 KiB | 2024-Oct-26 05:43 |
| ddgr-fish-completion-2.2-r0.apk | 2.3 KiB | 2024-Oct-26 05:43 |
| ddgr-doc-2.2-r0.apk | 11.5 KiB | 2024-Oct-26 05:43 |
| ddgr-bash-completion-2.2-r0.apk | 2.2 KiB | 2024-Oct-26 05:43 |
| ddgr-2.2-r0.apk | 20.1 KiB | 2024-Oct-26 05:43 |
| ddcci-driver-linux-src-0.4.5-r2.apk | 19.1 KiB | 2025-Mar-19 20:45 |
| dcnnt-pyc-0.10.0-r1.apk | 61.6 KiB | 2024-Oct-26 05:43 |
| dcnnt-doc-0.10.0-r1.apk | 6.6 KiB | 2024-Oct-26 05:43 |
| dcnnt-0.10.0-r1.apk | 27.8 KiB | 2024-Oct-26 05:43 |
| dcmtk-openrc-3.6.9-r0.apk | 1.7 KiB | 2025-Apr-08 07:07 |
| dcmtk-doc-3.6.9-r0.apk | 257.4 KiB | 2025-Apr-08 07:07 |
| dcmtk-dev-3.6.9-r0.apk | 1.6 MiB | 2025-Apr-08 07:07 |
| dcmtk-3.6.9-r0.apk | 1.3 MiB | 2025-Apr-08 07:07 |
| dbus-broker-doc-37-r0.apk | 5.9 KiB | 2025-Jun-17 19:17 |
| dbus-broker-37-r0.apk | 84.8 KiB | 2025-Jun-17 19:17 |
| dbmate-doc-2.28.0-r1.apk | 2.3 KiB | 2025-Dec-06 01:43 |
| dbmate-2.28.0-r1.apk | 10.7 MiB | 2025-Dec-06 01:43 |
| davmail-6.5.1-r0.apk | 8.3 MiB | 2025-Nov-15 13:32 |
| dasht-zsh-completion-2.4.0-r0.apk | 2.1 KiB | 2024-Oct-26 05:43 |
| dasht-doc-2.4.0-r0.apk | 11.4 KiB | 2024-Oct-26 05:43 |
| dasht-2.4.0-r0.apk | 14.2 KiB | 2024-Oct-26 05:43 |
| darts-clone-dev-0.32h-r0.apk | 13.2 KiB | 2025-Jul-06 16:09 |
| darts-clone-0.32h-r0.apk | 42.4 KiB | 2025-Jul-06 16:09 |
| darkradiant-lang-3.9.0-r0.apk | 37.2 KiB | 2025-Sep-28 21:27 |
| darkradiant-doc-3.9.0-r0.apk | 2.2 MiB | 2025-Sep-28 21:27 |
| darkradiant-3.9.0-r0.apk | 9.2 MiB | 2025-Sep-28 21:27 |
| dam-doc-0_git20250728-r0.apk | 2.3 KiB | 2025-Dec-23 06:22 |
| dam-0_git20250728-r0.apk | 13.2 KiB | 2025-Dec-23 06:22 |
| daemontools-openrc-0.76-r3.apk | 2.0 KiB | 2024-Oct-26 05:43 |
| daemontools-0.76-r3.apk | 67.4 KiB | 2024-Oct-26 05:43 |
| cyrus-sasl-xoauth2-static-0.2-r1.apk | 9.7 KiB | 2024-Oct-26 05:43 |
| cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2.3 KiB | 2024-Oct-26 05:43 |
| cyrus-sasl-xoauth2-0.2-r1.apk | 6.7 KiB | 2024-Oct-26 05:43 |
| cvs-fast-export-tools-1.65-r0.apk | 8.6 KiB | 2024-Oct-26 05:43 |
| cvs-fast-export-doc-1.65-r0.apk | 17.4 KiB | 2024-Oct-26 05:43 |
| cvs-fast-export-1.65-r0.apk | 47.8 KiB | 2024-Oct-26 05:43 |
| cvise-pyc-2.11.0-r0.apk | 59.7 KiB | 2025-Mar-08 22:14 |
| cvise-2.11.0-r0.apk | 4.9 MiB | 2025-Mar-08 22:14 |
| cutechess-doc-1.3.1-r0.apk | 3.5 KiB | 2024-Oct-26 05:43 |
| cutechess-cli-doc-1.3.1-r0.apk | 6.6 KiB | 2024-Oct-26 05:43 |
| cutechess-cli-1.3.1-r0.apk | 352.8 KiB | 2024-Oct-26 05:43 |
| cutechess-1.3.1-r0.apk | 1.1 MiB | 2024-Oct-26 05:43 |
| curtail-lang-1.13.0-r0.apk | 77.5 KiB | 2025-Jul-06 05:40 |
| curtail-1.13.0-r0.apk | 30.4 KiB | 2025-Jul-06 05:40 |
| curlftpfs-doc-0.9.2-r3.apk | 6.1 KiB | 2024-Oct-26 05:43 |
| curlftpfs-0.9.2-r3.apk | 23.4 KiB | 2024-Oct-26 05:43 |
| cura-lang-5.2.2-r1.apk | 4.1 MiB | 2024-Oct-26 05:43 |
| cura-5.2.2-r1.apk | 42.1 MiB | 2024-Oct-26 05:43 |
| cups-pdf-3.0.2-r0.apk | 21.6 KiB | 2025-Jul-05 06:11 |
| ctorrent-dnh-3.3.2-r2.apk | 86.1 KiB | 2024-Oct-26 05:43 |
| csol-doc-1.6.0-r0.apk | 3.8 KiB | 2024-Oct-26 05:43 |
| csol-1.6.0-r0.apk | 38.3 KiB | 2024-Oct-26 05:43 |
| csmith-doc-2.3.0-r2.apk | 3.1 KiB | 2024-Oct-26 05:43 |
| csmith-2.3.0-r2.apk | 314.6 KiB | 2024-Oct-26 05:43 |
| csfml-doc-2.5.2-r0.apk | 218.1 KiB | 2024-Oct-26 05:43 |
| csfml-dev-2.5.2-r0.apk | 77.2 KiB | 2024-Oct-26 05:43 |
| csfml-2.5.2-r0.apk | 93.6 KiB | 2024-Oct-26 05:43 |
| cscope-doc-15.9-r1.apk | 7.5 KiB | 2024-Oct-26 05:43 |
| cscope-15.9-r1.apk | 152.1 KiB | 2024-Oct-26 05:43 |
| crun-vm-doc-0.3.0-r0.apk | 12.9 KiB | 2024-Nov-12 20:55 |
| crun-vm-0.3.0-r0.apk | 1.1 MiB | 2024-Nov-12 20:55 |
| crowdsec-splunk-plugin-1.7.4-r0.apk | 6.1 MiB | 2025-Dec-06 01:43 |
| crowdsec-slack-plugin-1.7.4-r0.apk | 6.1 MiB | 2025-Dec-06 01:43 |
| crowdsec-sentinel-plugin-1.7.4-r0.apk | 6.1 MiB | 2025-Dec-06 01:43 |
| crowdsec-openrc-1.7.4-r0.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| crowdsec-http-plugin-1.7.4-r0.apk | 6.1 MiB | 2025-Dec-06 01:43 |
| crowdsec-file-plugin-1.7.4-r0.apk | 6.1 MiB | 2025-Dec-06 01:43 |
| crowdsec-email-plugin-1.7.4-r0.apk | 6.1 MiB | 2025-Dec-06 01:43 |
| crowdsec-1.7.4-r0.apk | 38.6 MiB | 2025-Dec-06 01:43 |
| crow-translate-lang-4.0.2-r0.apk | 554.0 KiB | 2025-Oct-15 21:56 |
| crow-translate-4.0.2-r0.apk | 10.7 MiB | 2025-Oct-15 21:56 |
| crossplane-pyc-0.5.8-r3.apk | 39.2 KiB | 2024-Oct-26 05:43 |
| crossplane-0.5.8-r3.apk | 30.2 KiB | 2024-Oct-26 05:43 |
| crispy-doom-doc-7.1-r0.apk | 107.4 KiB | 2025-Sep-24 11:49 |
| crispy-doom-7.1-r0.apk | 1.8 MiB | 2025-Sep-24 11:49 |
| createrepo_c-libs-1.1.4-r0.apk | 88.5 KiB | 2024-Oct-26 05:43 |
| createrepo_c-doc-1.1.4-r0.apk | 8.7 KiB | 2024-Oct-26 05:43 |
| createrepo_c-dev-1.1.4-r0.apk | 31.5 KiB | 2024-Oct-26 05:43 |
| createrepo_c-bash-completion-1.1.4-r0.apk | 2.9 KiB | 2024-Oct-26 05:43 |
| createrepo_c-1.1.4-r0.apk | 48.2 KiB | 2024-Oct-26 05:43 |
| crazydiskinfo-1.1.0-r1.apk | 33.1 KiB | 2024-Oct-26 05:43 |
| cpplint-pyc-2.0.2-r0.apk | 99.5 KiB | 2025-Apr-14 09:03 |
| cpplint-2.0.2-r0.apk | 80.3 KiB | 2025-Apr-14 09:03 |
| cpp-httplib-doc-0.28.0-r0.apk | 13.4 KiB | 2025-Nov-26 23:24 |
| cpp-httplib-0.28.0-r0.apk | 84.8 KiB | 2025-Nov-26 23:24 |
| cpiped-0.1.0-r0.apk | 7.1 KiB | 2024-Oct-26 05:43 |
| cowsay-doc-3.04-r2.apk | 4.0 KiB | 2024-Oct-26 05:43 |
| cowsay-3.04-r2.apk | 18.4 KiB | 2024-Oct-26 05:43 |
| cortex-tenant-openrc-1.15.7-r2.apk | 2.0 KiB | 2025-Dec-06 01:43 |
| cortex-tenant-1.15.7-r2.apk | 4.1 MiB | 2025-Dec-06 01:43 |
| corosync-openrc-3.1.10-r0.apk | 1.8 KiB | 2025-Dec-27 20:08 |
| corosync-doc-3.1.10-r0.apk | 190.6 KiB | 2025-Dec-27 20:08 |
| corosync-dev-3.1.10-r0.apk | 868.5 KiB | 2025-Dec-27 20:08 |
| corosync-3.1.10-r0.apk | 300.2 KiB | 2025-Dec-27 20:08 |
| copyq-doc-13.0.0-r1.apk | 3.5 KiB | 2025-Dec-06 01:43 |
| copyq-bash-completion-13.0.0-r1.apk | 2.3 KiB | 2025-Dec-06 01:43 |
| copyq-13.0.0-r1.apk | 2.6 MiB | 2025-Dec-06 01:43 |
| convert2json-yaml-json-2.4.1-r0.apk | 272.1 KiB | 2025-Dec-16 01:19 |
| convert2json-yaml-jaq-2.4.1-r0.apk | 281.8 KiB | 2025-Dec-16 01:19 |
| convert2json-yaml-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| convert2json-xml-json-2.4.1-r0.apk | 203.7 KiB | 2025-Dec-16 01:19 |
| convert2json-xml-jaq-2.4.1-r0.apk | 213.3 KiB | 2025-Dec-16 01:19 |
| convert2json-xml-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| convert2json-toml-json-2.4.1-r0.apk | 244.9 KiB | 2025-Dec-16 01:19 |
| convert2json-toml-jaq-2.4.1-r0.apk | 254.8 KiB | 2025-Dec-16 01:19 |
| convert2json-toml-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| convert2json-rsv-json-2.4.1-r0.apk | 169.5 KiB | 2025-Dec-16 01:19 |
| convert2json-rsv-jaq-2.4.1-r0.apk | 180.1 KiB | 2025-Dec-16 01:19 |
| convert2json-rsv-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| convert2json-plist-json-2.4.1-r0.apk | 247.5 KiB | 2025-Dec-16 01:19 |
| convert2json-plist-jaq-2.4.1-r0.apk | 257.1 KiB | 2025-Dec-16 01:19 |
| convert2json-plist-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| convert2json-messagepack-json-2.4.1-r0.apk | 205.1 KiB | 2025-Dec-16 01:19 |
| convert2json-messagepack-jaq-2.4.1-r0.apk | 214.7 KiB | 2025-Dec-16 01:19 |
| convert2json-messagepack-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| convert2json-json-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| convert2json-jaq-2.4.1-r0.apk | 1.4 KiB | 2025-Dec-16 01:19 |
| convert2json-ini-json-2.4.1-r0.apk | 190.8 KiB | 2025-Dec-16 01:19 |
| convert2json-ini-jaq-2.4.1-r0.apk | 201.2 KiB | 2025-Dec-16 01:19 |
| convert2json-ini-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| convert2json-doc-2.4.1-r0.apk | 13.4 KiB | 2025-Dec-16 01:19 |
| convert2json-csv-json-2.4.1-r0.apk | 225.9 KiB | 2025-Dec-16 01:19 |
| convert2json-csv-jaq-2.4.1-r0.apk | 236.5 KiB | 2025-Dec-16 01:19 |
| convert2json-csv-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| convert2json-cbor-json-2.4.1-r0.apk | 208.7 KiB | 2025-Dec-16 01:19 |
| convert2json-cbor-jaq-2.4.1-r0.apk | 218.5 KiB | 2025-Dec-16 01:19 |
| convert2json-cbor-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| convert2json-bson-json-2.4.1-r0.apk | 242.9 KiB | 2025-Dec-16 01:19 |
| convert2json-bson-jaq-2.4.1-r0.apk | 252.6 KiB | 2025-Dec-16 01:19 |
| convert2json-bson-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| convert2json-2.4.1-r0.apk | 1.3 KiB | 2025-Dec-16 01:19 |
| contractor-0.3.5-r0.apk | 26.8 KiB | 2024-Nov-13 07:02 |
| consul-replicate-0.4.0-r36.apk | 2.8 MiB | 2025-Dec-06 01:43 |
| console_bridge-dev-1.0.2-r0.apk | 4.8 KiB | 2024-Oct-26 05:43 |
| console_bridge-1.0.2-r0.apk | 9.3 KiB | 2024-Oct-26 05:43 |
| conserver-openrc-8.2.7-r0.apk | 1.7 KiB | 2025-Dec-19 02:44 |
| conserver-doc-8.2.7-r0.apk | 27.7 KiB | 2025-Dec-19 02:44 |
| conserver-8.2.7-r0.apk | 130.4 KiB | 2025-Dec-19 02:44 |
| comrak-doc-0.49.0-r0.apk | 10.6 KiB | 2025-Dec-14 23:23 |
| comrak-0.49.0-r0.apk | 1011.2 KiB | 2025-Dec-14 23:23 |
| compiz-utils-0.9.14.2-r13.apk | 3.3 KiB | 2025-Nov-29 09:01 |
| compiz-pyc-0.9.14.2-r13.apk | 111.4 KiB | 2025-Nov-29 09:01 |
| compiz-lang-0.9.14.2-r13.apk | 1.2 MiB | 2025-Nov-29 09:01 |
| compiz-dev-0.9.14.2-r13.apk | 117.2 KiB | 2025-Nov-29 09:01 |
| compiz-0.9.14.2-r13.apk | 6.1 MiB | 2025-Nov-29 09:01 |
| commoncpp-tools-7.0.1-r1.apk | 45.4 KiB | 2024-Oct-26 05:43 |
| commoncpp-doc-7.0.1-r1.apk | 14.8 KiB | 2024-Oct-26 05:43 |
| commoncpp-dev-7.0.1-r1.apk | 173.0 KiB | 2024-Oct-26 05:43 |
| commoncpp-7.0.1-r1.apk | 286.3 KiB | 2024-Oct-26 05:43 |
| commit-lsp-0.1.0-r0.apk | 2.0 MiB | 2025-May-08 19:45 |
| comics-downloader-gui-0.33.8-r15.apk | 5.5 MiB | 2025-Dec-06 01:43 |
| comics-downloader-0.33.8-r15.apk | 3.7 MiB | 2025-Dec-06 01:43 |
| comet-0.3.1-r0.apk | 2.7 MiB | 2025-Nov-30 04:50 |
| colorpicker-0_git20201128-r1.apk | 4.0 KiB | 2024-Oct-26 05:43 |
| colormake-doc-0.9.20170221-r0.apk | 2.9 KiB | 2024-Oct-26 05:43 |
| colormake-0.9.20170221-r0.apk | 4.3 KiB | 2024-Oct-26 05:43 |
| codec2-dev-1.2.0-r1.apk | 15.4 KiB | 2025-Nov-23 03:51 |
| codec2-1.2.0-r1.apk | 682.4 KiB | 2025-Nov-23 03:51 |
| code-minimap-doc-0.6.7-r0.apk | 8.0 KiB | 2024-Dec-13 04:37 |
| code-minimap-0.6.7-r0.apk | 365.2 KiB | 2024-Dec-13 04:37 |
| cocogitto-zsh-completion-6.5.0-r0.apk | 3.2 KiB | 2025-Nov-03 04:41 |
| cocogitto-fish-completion-6.5.0-r0.apk | 3.8 KiB | 2025-Nov-03 04:41 |
| cocogitto-doc-6.5.0-r0.apk | 39.5 KiB | 2025-Nov-03 04:41 |
| cocogitto-bash-completion-6.5.0-r0.apk | 3.2 KiB | 2025-Nov-03 04:41 |
| cocogitto-6.5.0-r0.apk | 1.8 MiB | 2025-Nov-03 04:41 |
| cobang-lang-1.8.1-r0.apk | 10.1 KiB | 2025-Oct-23 14:12 |
| cobang-1.8.1-r0.apk | 40.8 KiB | 2025-Oct-23 14:12 |
| cmusfm-0.5.0-r1.apk | 15.9 KiB | 2025-Aug-27 13:14 |
| cluster-glue-libs-1.0.12-r5.apk | 113.8 KiB | 2024-Oct-26 05:43 |
| cluster-glue-doc-1.0.12-r5.apk | 32.9 KiB | 2024-Oct-26 05:43 |
| cluster-glue-dev-1.0.12-r5.apk | 2.1 MiB | 2024-Oct-26 05:43 |
| cluster-glue-1.0.12-r5.apk | 268.3 KiB | 2024-Oct-26 05:43 |
| cloudfoundry-cli-8.7.9-r14.apk | 8.8 MiB | 2025-Dec-06 01:43 |
| cloudflared-openrc-2024.12.1-r11.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| cloudflared-doc-2024.12.1-r11.apk | 1.9 KiB | 2025-Dec-06 01:43 |
| cloudflared-2024.12.1-r11.apk | 9.1 MiB | 2025-Dec-06 01:43 |
| cln-doc-1.3.7-r1.apk | 77.3 KiB | 2025-May-25 16:51 |
| cln-dev-1.3.7-r1.apk | 2.1 MiB | 2025-May-25 16:51 |
| cln-1.3.7-r1.apk | 457.5 KiB | 2025-May-25 16:51 |
| cliquer-tests-1.23-r0.apk | 24.0 KiB | 2025-Aug-12 13:15 |
| cliquer-static-1.23-r0.apk | 52.4 KiB | 2025-Aug-12 13:15 |
| cliquer-libs-1.23-r0.apk | 25.5 KiB | 2025-Aug-12 13:15 |
| cliquer-dev-1.23-r0.apk | 7.5 KiB | 2025-Aug-12 13:15 |
| cliquer-1.23-r0.apk | 7.4 KiB | 2025-Aug-12 13:15 |
| clipit-doc-1.4.5-r3.apk | 2.4 KiB | 2024-Oct-26 05:43 |
| clipit-1.4.5-r3.apk | 65.5 KiB | 2024-Oct-26 05:43 |
| cliphist-fzf-0.7.0-r1.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| cliphist-0.7.0-r1.apk | 1002.0 KiB | 2025-Dec-06 01:43 |
| clinfo-doc-3.0.23.01.25-r0.apk | 6.4 KiB | 2024-Oct-26 05:43 |
| clinfo-3.0.23.01.25-r0.apk | 45.7 KiB | 2024-Oct-26 05:43 |
| click-pyc-0.5.2-r4.apk | 174.8 KiB | 2025-Feb-17 21:08 |
| click-doc-0.5.2-r4.apk | 3.3 KiB | 2025-Feb-17 21:08 |
| click-dev-0.5.2-r4.apk | 9.1 KiB | 2025-Feb-17 21:08 |
| click-0.5.2-r4.apk | 158.3 KiB | 2025-Feb-17 21:08 |
| clevis-extra-pins-0_git20230629-r0.apk | 4.5 KiB | 2025-Apr-03 04:57 |
| clevis-doc-21-r0.apk | 23.2 KiB | 2025-Apr-03 04:57 |
| clevis-dbg-21-r0.apk | 64.7 KiB | 2025-Apr-03 04:57 |
| clevis-bash-completion-21-r0.apk | 2.0 KiB | 2025-Apr-03 04:57 |
| clevis-21-r0.apk | 56.2 KiB | 2025-Apr-03 04:57 |
| clementine-1.4.1_git20250503-r0.apk | 6.2 MiB | 2025-Jun-12 22:44 |
| clatd-1.6-r0.apk | 12.6 KiB | 2024-Oct-26 05:43 |
| clang-next-static-22.0.0_pre20251226-r0.apk | 42.2 MiB | 2025-Dec-27 20:04 |
| clang-next-rtlib-scudo-22.0.0_pre20251226-r0.apk | 36.7 KiB | 2025-Dec-27 20:04 |
| clang-next-rtlib-atomic-22.0.0_pre20251226-r0.apk | 8.5 KiB | 2025-Dec-27 20:04 |
| clang-next-rtlib-22.0.0_pre20251226-r0.apk | 14.1 MiB | 2025-Dec-27 20:04 |
| clang-next-libs-22.0.0_pre20251226-r0.apk | 15.8 MiB | 2025-Dec-27 20:04 |
| clang-next-libclang-22.0.0_pre20251226-r0.apk | 9.2 MiB | 2025-Dec-27 20:04 |
| clang-next-headers-22.0.0_pre20251226-r0.apk | 1012.6 KiB | 2025-Dec-27 20:04 |
| clang-next-dev-22.0.0_pre20251226-r0.apk | 3.9 MiB | 2025-Dec-27 20:04 |
| clang-next-ccache-22.0.0_pre20251226-r0.apk | 1.7 KiB | 2025-Dec-27 20:04 |
| clang-next-22.0.0_pre20251226-r0.apk | 9.3 MiB | 2025-Dec-27 20:04 |
| ckb-next-dev-0.6.2-r1.apk | 4.9 KiB | 2025-Sep-05 21:21 |
| ckb-next-daemon-openrc-0.6.2-r1.apk | 1.8 KiB | 2025-Sep-05 21:21 |
| ckb-next-daemon-0.6.2-r1.apk | 73.3 KiB | 2025-Sep-05 21:21 |
| ckb-next-0.6.2-r1.apk | 1.4 MiB | 2025-Sep-05 21:21 |
| circuslinux-doc-1.0.3-r1.apk | 18.2 KiB | 2024-Oct-26 05:43 |
| circuslinux-data-1.0.3-r1.apk | 1.1 MiB | 2024-Oct-26 05:43 |
| circuslinux-1.0.3-r1.apk | 20.1 KiB | 2024-Oct-26 05:43 |
| cimg-3.4.1-r0.apk | 826.0 KiB | 2024-Oct-26 05:43 |
| cilium-cli-zsh-completion-0.16.13-r10.apk | 4.0 KiB | 2025-Dec-06 01:43 |
| cilium-cli-fish-completion-0.16.13-r10.apk | 4.3 KiB | 2025-Dec-06 01:43 |
| cilium-cli-bash-completion-0.16.13-r10.apk | 5.1 KiB | 2025-Dec-06 01:43 |
| cilium-cli-0.16.13-r10.apk | 53.4 MiB | 2025-Dec-06 01:43 |
| chocolate-doom-doc-3.1.1-r0.apk | 232.6 KiB | 2025-Aug-19 10:30 |
| chocolate-doom-3.1.1-r0.apk | 1.6 MiB | 2025-Aug-19 10:30 |
| chimerautils-dbg-15.0.2-r0.apk | 3.1 MiB | 2025-Dec-09 02:20 |
| chimerautils-15.0.2-r0.apk | 1.4 MiB | 2025-Dec-09 02:20 |
| chess-tui-doc-2.0.0-r0.apk | 2.2 KiB | 2025-Dec-13 10:12 |
| chess-tui-2.0.0-r0.apk | 1.3 MiB | 2025-Dec-13 10:12 |
| cherrytree-lang-1.4.0-r0.apk | 858.6 KiB | 2025-Mar-27 02:41 |
| cherrytree-doc-1.4.0-r0.apk | 2.1 KiB | 2025-Mar-27 02:41 |
| cherrytree-1.4.0-r0.apk | 2.7 MiB | 2025-Mar-27 02:41 |
| checkpolicy-doc-3.6-r0.apk | 4.1 KiB | 2024-Oct-26 05:42 |
| checkpolicy-3.6-r0.apk | 368.6 KiB | 2024-Oct-26 05:42 |
| chasquid-openrc-1.17.0-r0.apk | 2.0 KiB | 2025-Dec-20 00:58 |
| chasquid-doc-1.17.0-r0.apk | 10.9 KiB | 2025-Dec-20 00:58 |
| chasquid-1.17.0-r0.apk | 11.0 MiB | 2025-Dec-20 00:58 |
| charta-0.8.2-r1.apk | 2.0 MiB | 2025-Dec-06 01:43 |
| charls-dev-2.4.2-r0.apk | 26.6 KiB | 2024-Oct-26 05:42 |
| charls-2.4.2-r0.apk | 69.3 KiB | 2024-Oct-26 05:42 |
| cgo-doc-0.6.1-r1.apk | 4.1 KiB | 2024-Oct-26 05:42 |
| cgo-0.6.1-r1.apk | 10.1 KiB | 2024-Oct-26 05:42 |
| cgiirc-0.5.12-r1.apk | 132.8 KiB | 2024-Oct-26 05:42 |
| cfssl-1.6.5-r10.apk | 28.7 MiB | 2025-Dec-06 01:43 |
| certstrap-1.3.0-r29.apk | 2.3 MiB | 2025-Dec-06 01:43 |
| certigo-1.16.0-r28.apk | 3.7 MiB | 2025-Dec-06 01:43 |
| certbot-dns-pdns-pyc-0.1.1-r1.apk | 3.9 KiB | 2025-Aug-28 10:19 |
| certbot-dns-pdns-0.1.1-r1.apk | 8.6 KiB | 2025-Aug-28 10:19 |
| certbot-dns-njalla-pyc-2.0.0-r0.apk | 4.2 KiB | 2024-Nov-28 08:08 |
| certbot-dns-njalla-2.0.0-r0.apk | 9.3 KiB | 2024-Nov-28 08:08 |
| certbot-dns-hetzner-pyc-2.0.1-r1.apk | 6.5 KiB | 2025-Oct-06 21:16 |
| certbot-dns-hetzner-2.0.1-r1.apk | 10.1 KiB | 2025-Oct-06 21:16 |
| cdogs-sdl-2.3.2-r0.apk | 28.0 MiB | 2025-Oct-10 03:47 |
| cdist-pyc-7.0.0-r6.apk | 127.2 KiB | 2024-Oct-26 05:42 |
| cdist-7.0.0-r6.apk | 511.0 KiB | 2024-Oct-26 05:42 |
| cddlib-tools-0.94m-r2.apk | 37.6 KiB | 2024-Oct-26 05:42 |
| cddlib-static-0.94m-r2.apk | 472.8 KiB | 2024-Oct-26 05:42 |
| cddlib-doc-0.94m-r2.apk | 863.7 KiB | 2024-Oct-26 05:42 |
| cddlib-dev-0.94m-r2.apk | 14.1 KiB | 2024-Oct-26 05:42 |
| cddlib-0.94m-r2.apk | 193.4 KiB | 2024-Oct-26 05:42 |
| cdba-server-1.0-r2.apk | 21.9 KiB | 2024-Oct-26 05:42 |
| cdba-1.0-r2.apk | 7.8 KiB | 2024-Oct-26 05:42 |
| ccze-doc-0.2.1-r1.apk | 8.8 KiB | 2024-Oct-26 05:42 |
| ccze-dev-0.2.1-r1.apk | 3.3 KiB | 2024-Oct-26 05:42 |
| ccze-0.2.1-r1.apk | 51.5 KiB | 2024-Oct-26 05:42 |
| ccrtp-doc-2.1.2-r0.apk | 31.5 KiB | 2024-Oct-26 05:42 |
| ccrtp-dev-2.1.2-r0.apk | 53.0 KiB | 2024-Oct-26 05:42 |
| ccrtp-2.1.2-r0.apk | 93.0 KiB | 2024-Oct-26 05:42 |
| cc65-2.19-r0.apk | 8.9 MiB | 2024-Oct-26 05:42 |
| cbqn-0.10.0-r0.apk | 767.9 KiB | 2025-Nov-30 21:22 |
| cava-0.10.6-r0.apk | 47.8 KiB | 2025-Sep-12 17:10 |
| catppuccin-whiskers-doc-2.5.1-r0.apk | 2.3 KiB | 2025-Nov-30 04:00 |
| catppuccin-whiskers-2.5.1-r0.apk | 1.6 MiB | 2025-Nov-30 04:00 |
| catfish-pyc-4.20.1-r0.apk | 104.1 KiB | 2025-Jul-08 04:28 |
| catfish-lang-4.20.1-r0.apk | 166.7 KiB | 2025-Jul-08 04:28 |
| catfish-doc-4.20.1-r0.apk | 13.3 KiB | 2025-Jul-08 04:28 |
| catfish-4.20.1-r0.apk | 127.8 KiB | 2025-Jul-08 04:28 |
| catdoc-doc-0.95-r1.apk | 9.2 KiB | 2024-Oct-26 05:42 |
| catdoc-0.95-r1.apk | 111.0 KiB | 2024-Oct-26 05:42 |
| cataclysm-dda-tiles-0h-r0.apk | 48.7 MiB | 2025-Mar-20 14:44 |
| cataclysm-dda-lang-0h-r0.apk | 37.6 MiB | 2025-Mar-20 14:44 |
| cataclysm-dda-doc-0h-r0.apk | 4.6 KiB | 2025-Mar-20 14:44 |
| cataclysm-dda-curses-0h-r0.apk | 11.5 MiB | 2025-Mar-20 14:44 |
| cataclysm-dda-0h-r0.apk | 19.4 MiB | 2025-Mar-20 14:44 |
| castero-pyc-0.9.5-r4.apk | 94.0 KiB | 2025-May-15 03:14 |
| castero-0.9.5-r4.apk | 50.5 KiB | 2025-May-15 03:14 |
| cartero-lang-0.2.2-r0.apk | 44.8 KiB | 2025-Oct-13 09:00 |
| cartero-0.2.2-r0.apk | 1.1 MiB | 2025-Oct-13 09:00 |
| cargo-vendor-filterer-0.5.18-r0.apk | 629.8 KiB | 2025-Jul-25 02:34 |
| cargo-update-doc-18.0.0-r0.apk | 8.5 KiB | 2025-Oct-28 16:27 |
| cargo-update-18.0.0-r0.apk | 1.1 MiB | 2025-Oct-28 16:27 |
| cargo-udeps-doc-0.1.59-r0.apk | 7.5 KiB | 2025-Oct-03 10:24 |
| cargo-udeps-0.1.59-r0.apk | 4.6 MiB | 2025-Oct-03 10:24 |
| cargo-shuttle-zsh-completion-0.56.6-r0.apk | 7.9 KiB | 2025-Jul-29 16:59 |
| cargo-shuttle-fish-completion-0.56.6-r0.apk | 9.0 KiB | 2025-Jul-29 16:59 |
| cargo-shuttle-doc-0.56.6-r0.apk | 9.0 KiB | 2025-Jul-29 16:59 |
| cargo-shuttle-bash-completion-0.56.6-r0.apk | 5.2 KiB | 2025-Jul-29 16:59 |
| cargo-shuttle-0.56.6-r0.apk | 4.8 MiB | 2025-Jul-29 16:59 |
| cargo-show-asm-doc-0.2.53-r0.apk | 10.0 KiB | 2025-Oct-30 09:26 |
| cargo-show-asm-0.2.53-r0.apk | 832.3 KiB | 2025-Oct-30 09:26 |
| cargo-machete-doc-0.9.1-r0.apk | 4.4 KiB | 2025-Aug-18 03:49 |
| cargo-machete-0.9.1-r0.apk | 1.2 MiB | 2025-Aug-18 03:49 |
| cargo-generate-0.23.5-r0.apk | 2.2 MiB | 2025-Oct-04 22:17 |
| cargo-geiger-doc-0.13.0-r0.apk | 7.7 KiB | 2025-Oct-11 02:37 |
| cargo-geiger-0.13.0-r0.apk | 5.1 MiB | 2025-Oct-11 02:37 |
| cargo-crev-0.26.3-r0.apk | 6.2 MiB | 2025-Mar-03 01:18 |
| captive-browser-doc-0_git20210801-r2.apk | 3.7 KiB | 2025-Dec-06 01:43 |
| captive-browser-0_git20210801-r2.apk | 1.2 MiB | 2025-Dec-06 01:43 |
| caps2esc-0.3.2-r0.apk | 4.5 KiB | 2024-Oct-26 05:42 |
| capnet-assist-lang-8.0.0-r0.apk | 37.2 KiB | 2025-Apr-14 19:08 |
| capnet-assist-8.0.0-r0.apk | 43.5 KiB | 2025-Apr-14 19:08 |
| caja-gtkhash-plugin-1.5-r0.apk | 24.8 KiB | 2024-Oct-26 05:42 |
| caffeine-ng-lang-4.2.0-r1.apk | 34.3 KiB | 2024-Oct-26 05:42 |
| caffeine-ng-doc-4.2.0-r1.apk | 3.1 KiB | 2024-Oct-26 05:42 |
| caffeine-ng-4.2.0-r1.apk | 100.3 KiB | 2024-Oct-26 05:42 |
| cadence-0.9.2-r1.apk | 1.5 MiB | 2025-Oct-09 19:54 |
| bzmenu-0.2.1-r3.apk | 1.1 MiB | 2025-Aug-09 12:10 |
| bwrap-oci-doc-0.2-r1.apk | 2.5 KiB | 2024-Oct-26 05:42 |
| bwrap-oci-0.2-r1.apk | 15.2 KiB | 2024-Oct-26 05:42 |
| butane-0.25.1-r2.apk | 3.1 MiB | 2025-Dec-06 01:43 |
| burp-server-3.1.4-r0.apk | 36.4 KiB | 2024-Oct-26 05:42 |
| burp-doc-3.1.4-r0.apk | 99.4 KiB | 2024-Oct-26 05:42 |
| burp-3.1.4-r0.apk | 168.2 KiB | 2024-Oct-26 05:42 |
| bump2version-pyc-1.0.1-r6.apk | 29.1 KiB | 2024-Oct-26 05:42 |
| bump2version-1.0.1-r6.apk | 20.8 KiB | 2024-Oct-26 05:42 |
| buildcache-0.28.9-r0.apk | 800.0 KiB | 2024-Oct-26 05:42 |
| build-next-overlay-22.0.0_pre20251226-r0.apk | 2.9 KiB | 2025-Dec-27 20:04 |
| build-next-gas-22.0.0_pre20251226-r0.apk | 607.6 KiB | 2025-Dec-27 20:04 |
| build-next-bfd-22.0.0_pre20251226-r0.apk | 660.1 KiB | 2025-Dec-27 20:04 |
| build-next-22.0.0_pre20251226-r0.apk | 1.6 KiB | 2025-Dec-27 20:04 |
| buf-zsh-completion-1.59.0-r1.apk | 4.0 KiB | 2025-Dec-06 01:43 |
| buf-protoc-plugins-1.59.0-r1.apk | 13.5 MiB | 2025-Dec-06 01:43 |
| buf-fish-completion-1.59.0-r1.apk | 4.3 KiB | 2025-Dec-06 01:43 |
| buf-bash-completion-1.59.0-r1.apk | 8.6 KiB | 2025-Dec-06 01:43 |
| buf-1.59.0-r1.apk | 14.3 MiB | 2025-Dec-06 01:43 |
| budgie-session-lang-0.9.1-r0.apk | 306.6 KiB | 2025-Oct-17 02:22 |
| budgie-session-doc-0.9.1-r0.apk | 5.3 KiB | 2025-Oct-17 02:22 |
| budgie-session-0.9.1-r0.apk | 115.6 KiB | 2025-Oct-17 02:22 |
| budgie-screensaver-lang-5.1.0-r0.apk | 240.2 KiB | 2025-Oct-17 02:22 |
| budgie-screensaver-doc-5.1.0-r0.apk | 3.3 KiB | 2025-Oct-17 02:22 |
| budgie-screensaver-5.1.0-r0.apk | 75.2 KiB | 2025-Oct-17 02:22 |
| budgie-desktop-lang-10.9.2-r0.apk | 585.9 KiB | 2025-Oct-17 02:22 |
| budgie-desktop-doc-10.9.2-r0.apk | 5.7 KiB | 2025-Oct-17 02:22 |
| budgie-desktop-dev-10.9.2-r0.apk | 18.2 KiB | 2025-Oct-17 02:22 |
| budgie-desktop-10.9.2-r0.apk | 1.3 MiB | 2025-Oct-17 02:22 |
| budgie-control-center-lang-1.4.0-r0.apk | 3.9 MiB | 2025-Oct-17 02:22 |
| budgie-control-center-bash-completion-1.4.0-r0.apk | 2.3 KiB | 2025-Oct-17 02:22 |
| budgie-control-center-1.4.0-r0.apk | 3.0 MiB | 2025-Oct-17 02:22 |
| btpd-doc-0.16-r2.apk | 8.4 KiB | 2024-Oct-26 05:42 |
| btpd-0.16-r2.apk | 82.2 KiB | 2024-Oct-26 05:42 |
| btfs-doc-2.24-r12.apk | 2.4 KiB | 2024-Oct-26 05:42 |
| btfs-2.24-r12.apk | 30.7 KiB | 2024-Oct-26 05:42 |
| btcd-0.25.0-r0.apk | 15.5 MiB | 2025-Dec-27 20:08 |
| brltty-static-6.7-r1.apk | 37.8 KiB | 2025-Mar-29 18:54 |
| brltty-lang-6.7-r1.apk | 148.7 KiB | 2025-Mar-29 18:54 |
| brltty-doc-6.7-r1.apk | 9.4 KiB | 2025-Mar-29 18:54 |
| brltty-dev-6.7-r1.apk | 140.1 KiB | 2025-Mar-29 18:54 |
| brltty-6.7-r1.apk | 2.3 MiB | 2025-Mar-29 18:54 |
| brial-dev-1.2.11-r4.apk | 2.2 MiB | 2024-Oct-26 05:42 |
| brial-1.2.11-r4.apk | 1.0 MiB | 2024-Oct-26 05:42 |
| boxes-doc-2.3.1-r0.apk | 7.1 KiB | 2024-Oct-26 05:42 |
| boxes-2.3.1-r0.apk | 80.2 KiB | 2024-Oct-26 05:42 |
| botan2-libs-2.19.5-r0.apk | 2.6 MiB | 2025-May-29 06:37 |
| botan2-doc-2.19.5-r0.apk | 306.2 KiB | 2025-May-29 06:37 |
| botan2-dev-2.19.5-r0.apk | 310.7 KiB | 2025-May-29 06:37 |
| botan2-2.19.5-r0.apk | 412.7 KiB | 2025-May-29 06:37 |
| boson-0_git20211219-r0.apk | 17.8 KiB | 2024-Oct-26 05:42 |
| bootterm-dbg-0.5-r0.apk | 2.3 KiB | 2024-Oct-26 05:42 |
| bootterm-0.5-r0.apk | 19.7 KiB | 2024-Oct-26 05:42 |
| bootloose-0.7.1-r16.apk | 2.2 MiB | 2025-Dec-06 01:43 |
| bootinfo-pyc-0.1.0-r4.apk | 8.2 KiB | 2024-Oct-26 05:42 |
| bootinfo-0.1.0-r4.apk | 19.4 KiB | 2024-Oct-26 05:42 |
| bootchart2-systemd-0.14.9-r1.apk | 2.2 KiB | 2025-Nov-17 22:28 |
| bootchart2-0.14.9-r1.apk | 136.4 KiB | 2025-Nov-17 22:28 |
| bonzomatic-20230615-r0.apk | 650.1 KiB | 2024-Oct-26 05:42 |
| bomctl-zsh-completion-0.1.9-r11.apk | 4.1 KiB | 2025-Dec-06 01:43 |
| bomctl-fish-completion-0.1.9-r11.apk | 4.3 KiB | 2025-Dec-06 01:43 |
| bomctl-bash-completion-0.1.9-r11.apk | 5.1 KiB | 2025-Dec-06 01:43 |
| bomctl-0.1.9-r11.apk | 9.1 MiB | 2025-Dec-06 01:43 |
| boinc-screensaver-7.24.3-r0.apk | 126.6 KiB | 2024-Oct-26 05:42 |
| boinc-libs-7.24.3-r0.apk | 199.9 KiB | 2024-Oct-26 05:42 |
| boinc-lang-7.24.3-r0.apk | 876.9 KiB | 2024-Oct-26 05:42 |
| boinc-gui-7.24.3-r0.apk | 1004.4 KiB | 2024-Oct-26 05:42 |
| boinc-doc-7.24.3-r0.apk | 8.0 KiB | 2024-Oct-26 05:42 |
| boinc-dev-7.24.3-r0.apk | 596.1 KiB | 2024-Oct-26 05:42 |
| boinc-7.24.3-r0.apk | 1.5 MiB | 2024-Oct-26 05:42 |
| bochs-doc-2.8-r1.apk | 139.0 KiB | 2025-Feb-21 03:30 |
| bochs-2.8-r1.apk | 951.4 KiB | 2025-Feb-21 03:30 |
| blip-doc-0.10-r0.apk | 29.9 KiB | 2024-Oct-26 05:42 |
| blip-0.10-r0.apk | 15.5 KiB | 2024-Oct-26 05:42 |
| blackbox-1.20220610-r1.apk | 15.7 KiB | 2024-Oct-26 05:42 |
| bitlbee-mastodon-1.4.5-r0.apk | 48.8 KiB | 2024-Oct-26 05:42 |
| bitlbee-facebook-1.2.2-r0.apk | 57.2 KiB | 2024-Oct-26 05:42 |
| birdtray-1.11.4-r0.apk | 431.7 KiB | 2025-Dec-13 02:04 |
| biometryd-dev-0.3.3-r0.apk | 13.0 KiB | 2025-Dec-09 20:17 |
| biometryd-0.3.3-r0.apk | 314.9 KiB | 2025-Dec-09 20:17 |
| biome-2.3.10-r0.apk | 7.6 MiB | 2025-Dec-23 14:19 |
| binwalk-3.1.0-r0.apk | 1013.6 KiB | 2025-Feb-12 23:28 |
| bindfs-doc-1.17.7-r1.apk | 9.1 KiB | 2025-Jun-19 18:15 |
| bindfs-1.17.7-r1.apk | 21.7 KiB | 2025-Jun-19 18:15 |
| biboumi-openrc-9.0-r9.apk | 1.9 KiB | 2025-Oct-06 20:58 |
| biboumi-doc-9.0-r9.apk | 1.5 KiB | 2025-Oct-06 20:58 |
| biboumi-9.0-r9.apk | 269.2 KiB | 2025-Oct-06 20:58 |
| bgs-doc-0.8-r1.apk | 2.3 KiB | 2024-Oct-26 05:42 |
| bgs-0.8-r1.apk | 5.4 KiB | 2024-Oct-26 05:42 |
| bgpq4-doc-1.15-r0.apk | 6.3 KiB | 2024-Oct-26 05:42 |
| bgpq4-1.15-r0.apk | 33.5 KiB | 2024-Oct-26 05:42 |
| bettercap-doc-2.41.5-r0.apk | 13.6 KiB | 2025-Dec-16 10:52 |
| bettercap-2.41.5-r0.apk | 19.1 MiB | 2025-Dec-16 10:52 |
| bestline-doc-0.0_git20211108-r0.apk | 17.6 MiB | 2024-Oct-26 05:42 |
| bestline-dev-0.0_git20211108-r0.apk | 1.9 KiB | 2024-Oct-26 05:42 |
| bestline-0.0_git20211108-r0.apk | 21.7 KiB | 2024-Oct-26 05:42 |
| berry-lang-1.1.0-r0.apk | 122.1 KiB | 2024-Oct-26 05:42 |
| belr-dev-5.3.105-r0.apk | 14.5 KiB | 2025-Feb-25 21:55 |
| belr-5.3.105-r0.apk | 112.7 KiB | 2025-Feb-25 21:55 |
| belle-sip-dev-5.3.105-r0.apk | 53.9 KiB | 2025-Feb-25 21:54 |
| belle-sip-5.3.105-r0.apk | 687.4 KiB | 2025-Feb-25 21:54 |
| belcard-libs-5.3.105-r0.apk | 215.3 KiB | 2025-Feb-25 21:48 |
| belcard-dev-5.3.105-r0.apk | 11.5 KiB | 2025-Feb-25 21:48 |
| belcard-5.3.105-r0.apk | 15.5 KiB | 2025-Feb-25 21:48 |
| bees-openrc-0.10-r2.apk | 1.9 KiB | 2024-Oct-26 05:42 |
| bees-0.10-r2.apk | 292.5 KiB | 2024-Oct-26 05:42 |
| beard-doc-0.4-r0.apk | 2.5 KiB | 2024-Oct-26 05:42 |
| beard-0.4-r0.apk | 3.1 KiB | 2024-Oct-26 05:42 |
| beancount-language-server-1.4.1-r0.apk | 1.4 MiB | 2025-Aug-03 19:43 |
| bdfr-2.6.2-r1.apk | 130.8 KiB | 2024-Oct-26 05:42 |
| bchunk-doc-1.2.2-r3.apk | 3.0 KiB | 2024-Oct-26 05:42 |
| bchunk-1.2.2-r3.apk | 7.2 KiB | 2024-Oct-26 05:42 |
| bcg729-dev-1.1.1-r1.apk | 3.6 KiB | 2025-Oct-15 17:20 |
| bcg729-1.1.1-r1.apk | 35.0 KiB | 2025-Oct-15 17:20 |
| bazaar-systemd-0.5.8-r0.apk | 1.7 KiB | 2025-Nov-02 18:54 |
| bazaar-lang-0.5.8-r0.apk | 109.0 KiB | 2025-Nov-02 18:54 |
| bazaar-0.5.8-r0.apk | 361.7 KiB | 2025-Nov-02 18:54 |
| battery-limit-openrc-1-r0.apk | 1.8 KiB | 2025-Jun-17 19:18 |
| batmon-0.0.1-r0.apk | 457.7 KiB | 2024-Oct-26 05:42 |
| bat-extras-prettybat-2024.08.24-r0.apk | 5.6 KiB | 2025-Oct-13 05:47 |
| bat-extras-doc-2024.08.24-r0.apk | 15.5 KiB | 2025-Oct-13 05:47 |
| bat-extras-batwatch-2024.08.24-r0.apk | 5.9 KiB | 2025-Oct-13 05:47 |
| bat-extras-batpipe-2024.08.24-r0.apk | 7.0 KiB | 2025-Oct-13 05:47 |
| bat-extras-batman-2024.08.24-r0.apk | 4.7 KiB | 2025-Oct-13 05:47 |
| bat-extras-batgrep-2024.08.24-r0.apk | 7.3 KiB | 2025-Oct-13 05:47 |
| bat-extras-batdiff-2024.08.24-r0.apk | 5.4 KiB | 2025-Oct-13 05:47 |
| bat-extras-2024.08.24-r0.apk | 5.3 KiB | 2025-Oct-13 05:47 |
| bash-pinyin-completion-rs-doc-1.0.3-r0.apk | 13.6 KiB | 2025-Dec-10 09:02 |
| bash-pinyin-completion-rs-1.0.3-r0.apk | 301.0 KiB | 2025-Dec-10 09:02 |
| base64c-dev-0.2.1-r0.apk | 5.8 KiB | 2024-Oct-26 05:42 |
| base64c-0.2.1-r0.apk | 4.3 KiB | 2024-Oct-26 05:42 |
| bartib-1.0.1-r1.apk | 396.9 KiB | 2024-Oct-26 05:42 |
| barrier-doc-2.4.0-r2.apk | 13.0 KiB | 2025-Feb-17 21:08 |
| barrier-2.4.0-r2.apk | 957.8 KiB | 2025-Feb-17 21:08 |
| barman-pyc-3.16.2-r0.apk | 594.6 KiB | 2025-Nov-20 18:16 |
| barman-doc-3.16.2-r0.apk | 88.9 KiB | 2025-Nov-20 18:16 |
| barman-bash-completion-3.16.2-r0.apk | 1.6 KiB | 2025-Nov-20 18:16 |
| barman-3.16.2-r0.apk | 378.2 KiB | 2025-Nov-20 18:16 |
| baresip-dev-4.1.0-r0.apk | 16.0 KiB | 2025-Oct-03 16:59 |
| baresip-4.1.0-r0.apk | 1.1 MiB | 2025-Oct-03 16:59 |
| bakelite-0.4.2-r0.apk | 36.9 KiB | 2024-Oct-26 05:42 |
| baikal-sqlite-0.10.1-r1.apk | 1.4 KiB | 2025-May-28 06:37 |
| baikal-pgsql-0.10.1-r1.apk | 1.3 KiB | 2025-May-28 06:37 |
| baikal-mysql-0.10.1-r1.apk | 1.3 KiB | 2025-May-28 06:37 |
| baikal-0.10.1-r1.apk | 1.3 MiB | 2025-May-28 06:37 |
| bacon-3.18.0-r0.apk | 1.8 MiB | 2025-Oct-01 02:40 |
| backup-manager-0.7.15-r1.apk | 55.3 KiB | 2024-Oct-26 05:42 |
| b2-tools-pyc-4.3.2-r0.apk | 135.9 KiB | 2025-May-03 18:23 |
| b2-tools-4.3.2-r0.apk | 72.5 KiB | 2025-May-03 18:23 |
| azure-iot-sdk-c-static-1.11.0-r0.apk | 1.1 MiB | 2024-Oct-26 05:42 |
| azpainter-doc-3.0.11-r0.apk | 41.9 KiB | 2025-Feb-22 20:10 |
| azpainter-3.0.11-r0.apk | 857.1 KiB | 2025-Feb-22 20:10 |
| azote-pyc-1.14.0-r0.apk | 98.0 KiB | 2024-Dec-15 06:14 |
| azote-1.14.0-r0.apk | 7.6 MiB | 2024-Dec-15 06:14 |
| aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk | 2.3 KiB | 2025-Dec-06 01:43 |
| aws-ecr-get-login-password-1.0.0_rc2-r1.apk | 3.1 MiB | 2025-Dec-06 01:43 |
| avra-dev-1.4.2-r0.apk | 254.7 KiB | 2024-Oct-26 05:42 |
| avra-1.4.2-r0.apk | 40.8 KiB | 2024-Oct-26 05:42 |
| avarice-doc-2.14-r4.apk | 9.4 KiB | 2024-Oct-26 05:42 |
| avarice-2.14-r4.apk | 101.5 KiB | 2024-Oct-26 05:42 |
| avara-0.7.1-r1.apk | 21.4 MiB | 2024-Nov-04 17:52 |
| avahi2dns-openrc-0.1.0-r7.apk | 1.8 KiB | 2025-Dec-25 02:05 |
| avahi2dns-0.1.0-r7.apk | 2.4 MiB | 2025-Dec-25 02:05 |
| autotrash-pyc-0.4.7-r0.apk | 14.1 KiB | 2024-Oct-26 05:42 |
| autotrash-0.4.7-r0.apk | 22.8 KiB | 2024-Oct-26 05:42 |
| autorestic-1.8.3-r10.apk | 3.7 MiB | 2025-Dec-06 01:43 |
| autoremove-torrents-pyc-1.5.5-r0.apk | 53.8 KiB | 2024-Oct-26 05:42 |
| autoremove-torrents-doc-1.5.5-r0.apk | 11.6 KiB | 2024-Oct-26 05:42 |
| autoremove-torrents-1.5.5-r0.apk | 35.4 KiB | 2024-Oct-26 05:42 |
| autoconf-policy-0.1-r0.apk | 5.7 KiB | 2024-Oct-26 05:42 |
| authenticator-rs-lang-0.8.6-r0.apk | 4.1 KiB | 2025-Sep-02 03:34 |
| authenticator-rs-0.8.6-r0.apk | 2.1 MiB | 2025-Sep-02 03:34 |
| aufs-util-doc-20161219-r3.apk | 33.9 KiB | 2024-Oct-26 05:42 |
| aufs-util-dev-20161219-r3.apk | 1.5 KiB | 2024-Oct-26 05:42 |
| aufs-util-20161219-r3.apk | 244.3 KiB | 2024-Oct-26 05:42 |
| atool-doc-0.39.0-r4.apk | 9.6 KiB | 2024-Oct-26 05:42 |
| atool-bash-completion-0.39.0-r4.apk | 2.0 KiB | 2024-Oct-26 05:42 |
| atool-0.39.0-r4.apk | 17.7 KiB | 2024-Oct-26 05:42 |
| atomicparsley-20240608-r0.apk | 117.2 KiB | 2024-Oct-26 05:42 |
| atlantik-lang-3.5.10_git20240323-r0.apk | 68.6 KiB | 2024-Oct-26 05:42 |
| atlantik-doc-3.5.10_git20240323-r0.apk | 79.3 KiB | 2024-Oct-26 05:42 |
| atlantik-3.5.10_git20240323-r0.apk | 384.5 KiB | 2024-Oct-26 05:42 |
| atac-0.18.1-r0.apk | 4.8 MiB | 2024-Nov-26 06:46 |
| aspell-es-1.11-r0.apk | 533.2 KiB | 2024-Oct-26 05:42 |
| asdf-doc-0.18.0-r5.apk | 2.2 KiB | 2025-Dec-06 01:43 |
| asdf-0.18.0-r5.apk | 1.6 MiB | 2025-Dec-06 01:43 |
| armagetronad-doc-0.2.9.1.1-r0.apk | 91.9 KiB | 2024-Oct-26 05:42 |
| armagetronad-0.2.9.1.1-r0.apk | 1.6 MiB | 2024-Oct-26 05:42 |
| arif-doc-0.3.0-r0.apk | 19.4 KiB | 2025-Nov-30 19:11 |
| arif-dev-0.3.0-r0.apk | 3.5 KiB | 2025-Nov-30 19:11 |
| arif-0.3.0-r0.apk | 22.6 KiB | 2025-Nov-30 19:11 |
| argocd-zsh-completion-3.2.0-r1.apk | 4.0 KiB | 2025-Dec-06 01:43 |
| argocd-doc-3.2.0-r1.apk | 5.5 KiB | 2025-Dec-06 01:43 |
| argocd-bash-completion-3.2.0-r1.apk | 21.7 KiB | 2025-Dec-06 01:43 |
| argocd-3.2.0-r1.apk | 41.4 MiB | 2025-Dec-06 01:43 |
| arc-xfwm-20221218-r0.apk | 7.7 KiB | 2024-Oct-26 05:42 |
| arc-theme-20221218-r0.apk | 1.4 KiB | 2024-Oct-26 05:42 |
| arc-metacity-20221218-r0.apk | 17.2 KiB | 2024-Oct-26 05:42 |
| arc-lighter-xfwm-20221218-r0.apk | 7.7 KiB | 2024-Oct-26 05:42 |
| arc-lighter-metacity-20221218-r0.apk | 17.3 KiB | 2024-Oct-26 05:42 |
| arc-lighter-gtk4-20221218-r0.apk | 112.8 KiB | 2024-Oct-26 05:42 |
| arc-lighter-gtk3-20221218-r0.apk | 124.5 KiB | 2024-Oct-26 05:42 |
| arc-lighter-gtk2-20221218-r0.apk | 37.5 KiB | 2024-Oct-26 05:42 |
| arc-lighter-20221218-r0.apk | 1.7 KiB | 2024-Oct-26 05:42 |
| arc-icon-theme-20161122-r0.apk | 4.4 MiB | 2024-Oct-26 05:42 |
| arc-gtk4-20221218-r0.apk | 113.5 KiB | 2024-Oct-26 05:42 |
| arc-gtk3-20221218-r0.apk | 125.8 KiB | 2024-Oct-26 05:42 |
| arc-gtk2-20221218-r0.apk | 37.5 KiB | 2024-Oct-26 05:42 |
| arc-gnome-20221218-r0.apk | 28.6 KiB | 2024-Oct-26 05:42 |
| arc-darker-xfwm-20221218-r0.apk | 7.8 KiB | 2024-Oct-26 05:42 |
| arc-darker-metacity-20221218-r0.apk | 17.4 KiB | 2024-Oct-26 05:42 |
| arc-darker-gtk4-20221218-r0.apk | 110.1 KiB | 2024-Oct-26 05:42 |
| arc-darker-gtk3-20221218-r0.apk | 123.7 KiB | 2024-Oct-26 05:42 |
| arc-darker-gtk2-20221218-r0.apk | 38.5 KiB | 2024-Oct-26 05:42 |
| arc-darker-20221218-r0.apk | 1.7 KiB | 2024-Oct-26 05:42 |
| arc-dark-xfwm-20221218-r0.apk | 7.8 KiB | 2024-Oct-26 05:42 |
| arc-dark-metacity-20221218-r0.apk | 17.4 KiB | 2024-Oct-26 05:42 |
| arc-dark-gtk4-20221218-r0.apk | 86.2 KiB | 2024-Oct-26 05:42 |
| arc-dark-gtk3-20221218-r0.apk | 93.2 KiB | 2024-Oct-26 05:42 |
| arc-dark-gtk2-20221218-r0.apk | 38.4 KiB | 2024-Oct-26 05:42 |
| arc-dark-gnome-20221218-r0.apk | 27.0 KiB | 2024-Oct-26 05:42 |
| arc-dark-cinnamon-20221218-r0.apk | 68.4 KiB | 2024-Oct-26 05:42 |
| arc-dark-20221218-r0.apk | 1.7 KiB | 2024-Oct-26 05:42 |
| arc-cinnamon-20221218-r0.apk | 68.0 KiB | 2024-Oct-26 05:42 |
| arc-20221218-r0.apk | 1.7 KiB | 2024-Oct-26 05:42 |
| aqemu-doc-0.9.4-r3.apk | 7.5 KiB | 2024-Oct-26 05:42 |
| aqemu-0.9.4-r3.apk | 1.6 MiB | 2024-Oct-26 05:42 |
| apx-doc-2.4.5-r5.apk | 2.4 KiB | 2025-Dec-06 01:43 |
| apx-2.4.5-r5.apk | 3.4 MiB | 2025-Dec-06 01:43 |
| apulse-doc-0.1.14-r0.apk | 2.9 KiB | 2025-Sep-06 21:01 |
| apulse-0.1.14-r0.apk | 42.9 KiB | 2025-Sep-06 21:01 |
| aptdec-libs-1.8.0-r1.apk | 15.6 KiB | 2025-Feb-12 23:28 |
| aptdec-dev-1.8.0-r1.apk | 3.4 KiB | 2025-Feb-12 23:28 |
| aptdec-1.8.0-r1.apk | 86.0 KiB | 2025-Feb-12 23:28 |
| apt-swarm-zsh-completion-0.5.1-r1.apk | 5.9 KiB | 2025-Oct-12 22:46 |
| apt-swarm-openrc-0.5.1-r1.apk | 2.0 KiB | 2025-Oct-12 22:46 |
| apt-swarm-fish-completion-0.5.1-r1.apk | 5.7 KiB | 2025-Oct-12 22:46 |
| apt-swarm-bash-completion-0.5.1-r1.apk | 4.2 KiB | 2025-Oct-12 22:46 |
| apt-swarm-0.5.1-r1.apk | 2.8 MiB | 2025-Oct-12 22:46 |
| apt-mirror-doc-0.5.4-r0.apk | 3.7 KiB | 2024-Oct-26 05:42 |
| apt-mirror-0.5.4-r0.apk | 9.4 KiB | 2024-Oct-26 05:42 |
| apt-dater-lang-1.0.4-r4.apk | 13.1 KiB | 2024-Oct-26 05:42 |
| apt-dater-doc-1.0.4-r4.apk | 9.9 KiB | 2024-Oct-26 05:42 |
| apt-dater-1.0.4-r4.apk | 57.6 KiB | 2024-Oct-26 05:42 |
| aprilsh-server-0.7.12-r10.apk | 2.4 MiB | 2025-Dec-06 01:43 |
| aprilsh-openrc-0.7.12-r10.apk | 1.8 KiB | 2025-Dec-06 01:43 |
| aprilsh-doc-0.7.12-r10.apk | 14.4 KiB | 2025-Dec-06 01:43 |
| aprilsh-client-0.7.12-r10.apk | 3.2 MiB | 2025-Dec-06 01:43 |
| aprilsh-0.7.12-r10.apk | 1.6 KiB | 2025-Dec-06 01:43 |
| appcenter-lang-8.0.0-r0.apk | 258.3 KiB | 2024-Nov-13 07:02 |
| appcenter-8.0.0-r0.apk | 403.2 KiB | 2024-Nov-13 07:02 |
| aports-glmr-0.2-r33.apk | 2.5 MiB | 2025-Dec-06 01:43 |
| apk-snap-doc-3.1.1-r0.apk | 19.9 KiB | 2024-Oct-26 05:42 |
| apk-snap-3.1.1-r0.apk | 6.6 KiB | 2024-Oct-26 05:42 |
| apk-autoupdate-doc-0_git20210421-r1.apk | 7.0 KiB | 2024-Nov-17 21:51 |
| apk-autoupdate-0_git20210421-r1.apk | 13.3 KiB | 2024-Nov-17 21:51 |
| apache2-mod-realdoc-1-r1.apk | 4.8 KiB | 2024-Oct-26 05:42 |
| apache2-mod-perl-doc-2.0.13-r2.apk | 304.0 KiB | 2025-Jun-30 16:50 |
| apache2-mod-perl-dev-2.0.13-r2.apk | 38.0 KiB | 2025-Jun-30 16:50 |
| apache2-mod-perl-dbg-2.0.13-r2.apk | 62.4 KiB | 2025-Jun-30 16:50 |
| apache2-mod-perl-2.0.13-r2.apk | 738.8 KiB | 2025-Jun-30 16:50 |
| apache2-mod-maxminddb-1.2.0-r0.apk | 11.5 KiB | 2025-May-19 19:23 |
| apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10.3 KiB | 2024-Oct-26 05:42 |
| apache2-mod-authnz-external-3.3.3-r0.apk | 8.0 KiB | 2024-Oct-26 05:42 |
| apache-mod-auth-openidc-static-2.4.16.11-r1.apk | 490.2 KiB | 2025-May-22 15:58 |
| apache-mod-auth-openidc-doc-2.4.16.11-r1.apk | 4.4 KiB | 2025-May-22 15:58 |
| apache-mod-auth-openidc-2.4.16.11-r1.apk | 221.6 KiB | 2025-May-22 15:58 |
| apache-mod-auth-gssapi-1.6.5-r1.apk | 60.6 KiB | 2024-Oct-26 05:42 |
| aoetools-doc-37-r2.apk | 13.8 KiB | 2025-Jan-15 02:05 |
| aoetools-37-r2.apk | 21.8 KiB | 2025-Jan-15 02:05 |
| antimicrox-doc-3.5.1-r0.apk | 23.8 KiB | 2025-Jun-13 22:54 |
| antimicrox-3.5.1-r0.apk | 1.6 MiB | 2025-Jun-13 22:54 |
| antibody-6.1.1-r33.apk | 1.8 MiB | 2025-Dec-06 01:43 |
| ansible-bender-pyc-0.10.1-r2.apk | 64.3 KiB | 2025-Jan-16 08:35 |
| ansible-bender-doc-0.10.1-r2.apk | 9.8 KiB | 2025-Jan-16 08:35 |
| ansible-bender-0.10.1-r2.apk | 35.4 KiB | 2025-Jan-16 08:35 |
| angband-4.2.5-r0.apk | 22.7 MiB | 2024-Oct-26 05:42 |
| android-file-transfer-libs-4.5-r0.apk | 129.9 KiB | 2025-Jun-25 08:03 |
| android-file-transfer-dev-4.5-r0.apk | 1.6 KiB | 2025-Jun-25 08:03 |
| android-file-transfer-cli-4.5-r0.apk | 111.0 KiB | 2025-Jun-25 08:03 |
| android-file-transfer-4.5-r0.apk | 194.1 KiB | 2025-Jun-25 08:03 |
| android-apktool-2.12.1-r0.apk | 24.5 MiB | 2025-Oct-14 23:57 |
| android-apkeep-0.17.0-r0.apk | 1.9 MiB | 2025-Jan-16 08:35 |
| anari-sdk-static-0.7.2-r0.apk | 299.4 KiB | 2024-Oct-26 05:42 |
| anari-sdk-dev-0.7.2-r0.apk | 58.6 KiB | 2024-Oct-26 05:42 |
| anari-sdk-0.7.2-r0.apk | 302.4 KiB | 2024-Oct-26 05:42 |
| anarch-doc-1.0-r1.apk | 18.0 KiB | 2024-Oct-26 05:42 |
| anarch-1.0-r1.apk | 102.7 KiB | 2024-Oct-26 05:42 |
| amule-lang-2.3.3-r13.apk | 1.6 MiB | 2024-Oct-26 05:42 |
| amule-doc-2.3.3-r13.apk | 281.4 KiB | 2024-Oct-26 05:42 |
| amule-2.3.3-r13.apk | 4.2 MiB | 2024-Oct-26 05:42 |
| ampy-pyc-1.1.0-r6.apk | 19.5 KiB | 2025-Mar-19 20:44 |
| ampy-doc-1.1.0-r6.apk | 4.1 KiB | 2025-Mar-19 20:44 |
| ampy-1.1.0-r6.apk | 15.4 KiB | 2025-Mar-19 20:44 |
| amiitool-2-r2.apk | 8.0 KiB | 2024-Oct-26 05:42 |
| amdgpu-fan-pyc-0.1.0-r5.apk | 9.6 KiB | 2024-Oct-26 05:42 |
| amdgpu-fan-0.1.0-r5.apk | 14.0 KiB | 2024-Oct-26 05:42 |
| amber-mpris-dev-1.2.9-r0.apk | 6.7 KiB | 2024-Dec-23 01:54 |
| amber-mpris-1.2.9-r0.apk | 213.5 KiB | 2024-Dec-23 01:54 |
| amber-0.4.0_alpha-r0.apk | 521.8 KiB | 2025-Sep-18 11:18 |
| alttab-doc-1.7.1-r0.apk | 10.3 KiB | 2024-Oct-26 05:42 |
| alttab-1.7.1-r0.apk | 37.6 KiB | 2024-Oct-26 05:42 |
| alps-openrc-0_git20230807-r17.apk | 2.0 KiB | 2025-Dec-06 01:43 |
| alps-0_git20230807-r17.apk | 5.5 MiB | 2025-Dec-06 01:43 |
| alpine-lift-0.2.0-r28.apk | 3.6 MiB | 2025-Dec-06 01:43 |
| alacritty-graphics-zsh-completion-0.16.1-r0.apk | 3.4 KiB | 2025-Dec-18 09:06 |
| alacritty-graphics-fish-completion-0.16.1-r0.apk | 3.1 KiB | 2025-Dec-18 09:06 |
| alacritty-graphics-doc-0.16.1-r0.apk | 41.1 KiB | 2025-Dec-18 09:06 |
| alacritty-graphics-bash-completion-0.16.1-r0.apk | 2.8 KiB | 2025-Dec-18 09:06 |
| alacritty-graphics-0.16.1-r0.apk | 2.1 MiB | 2025-Dec-18 09:06 |
| aide-doc-0.19.2-r0.apk | 15.5 KiB | 2025-Sep-05 16:31 |
| aide-0.19.2-r0.apk | 82.5 KiB | 2025-Sep-05 16:31 |
| agrep-doc-0.8.0-r2.apk | 4.1 KiB | 2024-Oct-26 05:42 |
| agrep-0.8.0-r2.apk | 8.0 KiB | 2024-Oct-26 05:42 |
| aggregate6-pyc-1.0.14-r0.apk | 5.8 KiB | 2025-Oct-14 20:05 |
| aggregate6-doc-1.0.14-r0.apk | 2.9 KiB | 2025-Oct-14 20:05 |
| aggregate6-1.0.14-r0.apk | 7.3 KiB | 2025-Oct-14 20:05 |
| agate-openrc-3.3.19-r0.apk | 2.0 KiB | 2025-Sep-24 11:26 |
| agate-3.3.19-r0.apk | 702.2 KiB | 2025-Sep-24 11:26 |
| afew-doc-3.0.1-r0.apk | 12.4 KiB | 2025-May-19 07:35 |
| afew-3.0.1-r0.apk | 73.1 KiB | 2025-May-19 07:35 |
| afetch-doc-2.2.0-r1.apk | 13.5 KiB | 2024-Oct-26 05:42 |
| afetch-2.2.0-r1.apk | 8.4 KiB | 2024-Oct-26 05:42 |
| advancescan-doc-1.18-r1.apk | 7.2 KiB | 2024-Oct-26 05:42 |
| advancescan-1.18-r1.apk | 276.0 KiB | 2024-Oct-26 05:42 |
| advancemame-mess-3.9-r4.apk | 3.3 MiB | 2024-Oct-26 05:42 |
| advancemame-menu-3.9-r4.apk | 792.9 KiB | 2024-Oct-26 05:42 |
| advancemame-doc-3.9-r4.apk | 373.5 KiB | 2024-Oct-26 05:42 |
| advancemame-data-3.9-r4.apk | 5.8 MiB | 2024-Oct-26 05:42 |
| advancemame-3.9-r4.apk | 11.9 MiB | 2024-Oct-26 05:42 |
| admesh-doc-0.98.5-r0.apk | 23.4 KiB | 2024-Oct-26 05:42 |
| admesh-dev-0.98.5-r0.apk | 4.0 KiB | 2024-Oct-26 05:42 |
| admesh-0.98.5-r0.apk | 26.2 KiB | 2024-Oct-26 05:42 |
| adjtimex-doc-1.29-r0.apk | 7.3 KiB | 2024-Oct-26 05:42 |
| adjtimex-1.29-r0.apk | 19.1 KiB | 2024-Oct-26 05:42 |
| acmetool-doc-0.2.2-r18.apk | 46.7 KiB | 2025-Dec-06 01:43 |
| acmetool-0.2.2-r18.apk | 4.4 MiB | 2025-Dec-06 01:43 |
| acmeleaf-doc-0.2.0-r1.apk | 5.3 KiB | 2025-Dec-06 01:43 |
| acmeleaf-0.2.0-r1.apk | 4.9 MiB | 2025-Dec-06 01:43 |
| ace-of-penguins-doc-1.4-r3.apk | 48.6 KiB | 2024-Oct-26 05:42 |
| ace-of-penguins-1.4-r3.apk | 166.6 KiB | 2024-Oct-26 05:42 |
| abnfgen-doc-0.21-r0.apk | 5.1 KiB | 2025-May-28 06:26 |
| abnfgen-0.21-r0.apk | 18.4 KiB | 2025-May-28 06:26 |
| abc-0_git20240102-r0.apk | 5.0 MiB | 2024-Oct-26 05:42 |
| a2jmidid-doc-9-r3.apk | 4.2 KiB | 2024-Oct-26 05:42 |
| a2jmidid-9-r3.apk | 28.0 KiB | 2024-Oct-26 05:42 |
| APKINDEX.tar.gz | 678.4 KiB | 2025-Dec-28 17:01 |
| 66-tools-nsrules-0.1.2.0-r0.apk | 2.9 KiB | 2025-Jun-01 10:39 |
| 66-tools-doc-0.1.2.0-r0.apk | 39.4 KiB | 2025-Jun-01 10:39 |
| 66-tools-dev-0.1.2.0-r0.apk | 2.0 KiB | 2025-Jun-01 10:39 |
| 66-tools-dbg-0.1.2.0-r0.apk | 109.0 KiB | 2025-Jun-01 10:39 |
| 66-tools-0.1.2.0-r0.apk | 68.2 KiB | 2025-Jun-01 10:39 |
| 66-init-0.8.2.1-r0.apk | 1.5 KiB | 2025-Jun-04 04:11 |
| 66-doc-0.8.2.1-r0.apk | 190.4 KiB | 2025-Jun-04 04:11 |
| 66-dev-0.8.2.1-r0.apk | 3.3 MiB | 2025-Jun-04 04:11 |
| 66-dbg-0.8.2.1-r0.apk | 758.3 KiB | 2025-Jun-04 04:11 |
| 66-0.8.2.1-r0.apk | 296.5 KiB | 2025-Jun-04 04:11 |
| 3proxy-systemd-0.9.5-r1.apk | 1.8 KiB | 2025-Nov-17 22:28 |
| 3proxy-openrc-0.9.5-r1.apk | 1.7 KiB | 2025-Nov-17 22:28 |
| 3proxy-doc-0.9.5-r1.apk | 26.4 KiB | 2025-Nov-17 22:28 |
| 3proxy-0.9.5-r1.apk | 410.9 KiB | 2025-Nov-17 22:28 |